CN1524027A - 提高垫寿命的化学机械抛光垫调节器方向速度控制 - Google Patents

提高垫寿命的化学机械抛光垫调节器方向速度控制 Download PDF

Info

Publication number
CN1524027A
CN1524027A CNA028136152A CN02813615A CN1524027A CN 1524027 A CN1524027 A CN 1524027A CN A028136152 A CNA028136152 A CN A028136152A CN 02813615 A CN02813615 A CN 02813615A CN 1524027 A CN1524027 A CN 1524027A
Authority
CN
China
Prior art keywords
pad
speed
parameter
wafer
wafer material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028136152A
Other languages
English (en)
Other versions
CN100577361C (zh
Inventor
Y・J・派克
Y·J·派克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1524027A publication Critical patent/CN1524027A/zh
Application granted granted Critical
Publication of CN100577361C publication Critical patent/CN100577361C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/40Minimising material used in manufacturing processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

一种调节平整表面的方法,设备和介质包括:将待抛光的晶片放在具有抛光垫(102)和调节盘(108)的化学机械抛光(CMP)设备(100)中,在第一套垫调节参数下抛光该晶片,选择该套参数是为将晶片材料去除速率保持在预选的最小和最大去除速率范围内;确定出现在该抛光步骤期间的晶片材料去除速率;计算校正的垫调节参数以将晶片材料去除速率保持在最大和最小去除速率范围内;以及用该校正的垫调节参数调节该抛光垫,其中该校正的垫调节参数用垫磨损和调节模型计算,该模型基于该调节盘的旋转速度和方向预测该抛光垫的该晶片材料去除速率。

Description

提高垫寿命的化学机械抛光垫调节器方向速度控制
本申请对在2001年6月19日申请的,题目为“半导体制造工艺的先进工艺控制”的美国专利60/298878;在2001年7月16日申请的,题目为“用于化学机械抛光垫调节的前馈和反馈控制”的美国专利60/305798;在2001年9月12日申请的,题目为“用于化学机械抛光垫调节的前馈和反馈控制”的美国专利60/318743;以及在2001年11月30日申请的,题目为“提高垫寿命的化学机械抛光垫调节器方向速度控制”的美国专利09/998372,要求优先权,所有这些专利收编在此以供参考。
技术领域
本发明一般关于抛光领域以及在化学机械抛光工艺中提高抛光垫的寿命和效率的方法。
技术背景
为获得半导体晶片的完全平面化(planarization)将化学机械抛光(CMP)用于半导体制造工艺。该方法包括利用机械接触和来自例如运动着的浸透浆料(slurry)的抛光垫的化学腐蚀从该晶片(一般是二氧化硅(SiO2)),去除材料(例如,表面材料的牺牲层)。因为高形貌区域(丘)比低形貌区(谷)去除的速度更快,所以抛光整平了高度差。图1A显示CMP机100的俯视图,而图1B显示通过线AA的CMP机的侧剖视图。将晶片供给该CMP机器进行抛光。典型地,该CMP机100利用臂101拾起晶片105并将其放到旋转的抛光垫102上。该抛光垫102用弹性材料制成,并且常常具有一定的纹理,以有助于该抛光工艺。该抛光垫102在位于该抛光垫102下面的台板104或旋转台上以预定的速度旋转。该晶片105被该臂101固定在该抛光垫102上的适当位置。该晶片105的下表面放在抛光垫102上。该晶片105的上表面贴在臂101的晶片运载器106的下表面。当抛光垫102旋转时,该臂101以预定的速度旋转该晶片105。该臂101以预定大小的向下的力将晶片105压在该抛光垫102上。该CMP机100还包括伸入该抛光垫102半径长度的浆料散布臂(slurry dispense arm)107。该浆料散布臂107将浆料流体散布在该抛光垫102上。
随着时间的过去,抛光垫失去了其粗糙度和弹性,因此失去了保持期望的去除速率(removal rate)的能力(抛光速率)。众所周知,对于给定的抛光垫来说,其所提供的材料去除速率与时间的关系以图2所示的方式呈指数降低。此外,该去除速率的降低需要不断增加调节参数,例如,为了恢复期望的从该晶片上去除材料的速率,向下的力和/或调节角速度和/或调节时间。因此,在抛光周期之间,必须调节该抛光垫(例如,用调节盘108)。用臂109将调节盘固定在该抛光垫上。当该抛光垫旋转时,调节盘108也旋转。这样做使该垫的表面变粗糙并至少暂时地恢复其原有的材料去除速率。但是,过度的垫调节会缩短垫的寿命。
传统调节方法的问题是可能过度调节,例如,过早地用坏抛光垫。每次更换垫时,必须在其上抛光一个到几个晶片,并测量抛光结果,以确定该设备将产生所需要的抛光。这引起加工延迟并使设备效率降低。
为了延长该垫的寿命,可以根据整个平整表面(planarizing surface)上废物的分布情况,沿CMP垫表面,选择性调节抛光垫的部分区域,或改变调节元件(例如,调节盘108)向下的力。延长垫寿命的其它方法包括根据抛光垫的非均匀性,改变在整个该抛光垫表面的调节方式(conditioning recipe)。然而,这些报道的CMP工艺一般更关注改进CMP工艺,例如,改进晶片内非均匀性(within wafer non-uniformity),而不是延长垫寿命。
能延长垫寿命并因此减少垫的更换频率的方法和设备为所述晶片制造工艺显著地节省费用。
发明概述
本发明关于调节抛光垫的平整表面,以便延长该垫的工作寿命的方法、系统和介质。尤其是,本发明的至少一些实施例利用垫磨损和晶片平面化工艺的物理和/或化学模型(这些模型可以作为单一模型或多重模型执行)来预测抛光垫性能和延长垫寿命。这使得用单个抛光垫所能抛光的半导体晶片或其它基片的数目增加,从而在CMP工艺中,在延长垫寿命和减少用于垫更换的时间两个方面,显著地节省了费用。
该模型根据“调节”该调节工艺的操作参数来预测抛光效率(晶片材料去除速率)。在本发明的至少一些实施里中,调节的操作参数包括调节期间的调节盘(这里盘被用于调节)的角速度方向(angulardirection)和角速度(angular velocity),也可以包括其它因素,诸如调节频率和调节时间。该模型选择并随后保持抛光垫调节参数在一范围内,该范围是在提供可以接受的晶片材料去除速率的同时,不过度调节该垫的范围。因此,本发明提供对该CMP抛光工艺的前馈和反馈控制的工艺。
在本发明的一个方式中,在具有抛光垫和调节盘的CMP设备中调节平整表面的方法包括:在该CMP设备中,在第一套调节参数下抛光晶片,选择该套参数以将晶片材料去除速率保持在预选的最大和最小去除速率范围内;测量在所述的抛光步骤中的晶片材料去除速率;根据所述晶片材料去除速率,计算校正的垫调节参数以保持晶片材料去除速率在最大和最小去除速率范围内;使用该校正的垫调节参数调节该抛光垫。利用垫磨损和垫恢复模型,通过将晶片材料的去除速率作为包括调节盘旋转速度和方向的垫调节参数的函数来计算该校正垫调节参数;确定计算的和测量的晶片材料去除速率的差别,并且计算校正的垫调节参数来减小所述的差别,其中该校正的调节参数根据方程k=(k1)+g*(k-(k1))校正,这里k是测量的参数,k1是计算的参数估计,g是估计增益,(k-(k1))是预测误差。
在本发明的至少一些实施例中,该第一套调节参数凭经验,或利用历史的数据,或利用实验设计(DOE)的结果确定。
在本发明的至少一些实施例中,垫磨损和垫恢复模型的垫调节参数还包括调节期间的调节频率,或调节时间,或调节盘的平移速度(translational speed)。
在本发明的至少一些实施例中,确定该晶片材料去除速率步骤包括测量抛光前和抛光后的该晶片厚度。
在本发明的至少一些实施例中,计算步骤(c)中校正的垫调节参数的步骤包括执行递归最优化工艺,或在至少一些实施例中,包括计算调节参数以便该参数在确定的最大和最小值范围内。
在本发明的至少一些实施例中,该增益是被测量的参数中变化性或可靠性的指示,该增益值大约在0.5到1.0的范围内,或大约在0.7到0.9的范围内。
在本发明的至少一些实施例中,通过确定所述垫磨损和垫调节模型的输出与该晶片材料去除步骤(c)的差别来计算校正的调节参数。在至少一些实施例中,这一差别被最小化。
在本发明的至少一些实施例中,步骤(b)到(e)被重复。
在本发明的至少一些实施例中,晶片材料去除速率的最大值是该晶片材料去除速率与向下调节力关系曲线的饱和点值,或在至少一些实施例中,晶片材料去除速率的最大值是初始速率,或在至少一些实施例中,晶片材料去除速率的最小值由最大可接受晶片抛光时间来定义。
在本发明的至少一些实施例中,该晶片材料去除速率用方程定义:
RemovalRate ] min max = f ( ω disk ] min max , f ] min max , t conditioning ] min max , direction , T 2 ] min max )
这里ωdisk是该抛光垫调节期间的该调节盘的角速度,t是调节时间,f是调节频率,方向是调节盘的旋转方向,T2是调节期间该调节盘的扫过速度(sweeping speed)。
在本发明的另一方式中,调节用于抛光基片的抛光垫的设备包括:有一个可位于抛光垫的平整表面之上的臂的运载组件(carrierassembly);与运载组件连接的调节盘;以及能控制该调节盘操作参数的制动器;可耦合到该制动器的控制器,该控制器操纵该制动器来调整作为垫磨损和垫恢复模型函数的该调节盘的该操作参数,该模型根据调节垫旋转速度和方向预测该晶片材料去除速率。
在本发明的至少一些实施例中,利用垫磨损和垫恢复模型,通过将晶片材料的去除速率作为包括调节盘旋转速度和方向的垫调节参数的函数来计算该校正垫调节参数;以及确定计算和测量的晶片材料去除速率间的差别以及计算校正的垫调节参数以减小所述差别,其中校正调节参数根据所述方程k=(k1)+g*(k-(k1))来校正,这里k是测量的参数,k1是计算的参数,g是估计增益,(k-(k1))是预测误差。
在至少一些实施例中,该垫磨损和垫恢复模型的该垫调节参数还包括调节期间的调节频率,调节时间,或调节盘速度。
在本发明的至少一些实施例中,该增益是所测量的参数中的变化性和可靠性的指示。
在本发明的另一方式中,提供生成用于从晶片上去除材料的抛光垫的垫调节最优化的垫磨损和垫调节模型的一种方法,该方法包括:
a)确定至少一个垫调节参数和晶片去除速率之间的关系;
b)为至少一个垫调节参数和该晶片材料去除速率确定最大和最小值;以及
c)记录这些关系及至少一个垫调节参数和晶片去除速率的最小和最大值。
在本发明的至少一些实施例中,所述至少一个垫调节参数包括多个参数,而且该晶片去除速率被定义为多个垫调节参数的加权函数,或在至少一些实施例中,所述至少一个垫调节参数包括调节盘旋转速度,或在至少一个实施例中,所述至少一个垫调节参数还包括调节盘旋转方向。
在本发明的至少一些实施例中,所述至少一个垫调节参数包括从由调节盘向下的力、调节盘的旋转速率和方向,调节频率和调节持续时间,以及调节盘平移速度所组成的参数组中选择的一个或多个参数。
在本发明的至少一些实施例中,至少一个调节参数和晶片去除速率之间的关系通过逐渐改变该调节参数和测量成品晶片的去除速率来确定。
在本发明的至少一些实施例中,调节参数的最大值是高于该值时观察不到晶片去除速率步进递增(incremental increase)的值,或在至少一些实施例中,调节参数的最小值是提供最小晶片去除速率的值。
在本发明的至少一些实施例中,本发明还包括在所述CMP设备中,在第一套垫调节参数下抛光晶片,该套参数的选择是为将晶片材料去除速率保持在预选的最小和最大去除速率范围内,这些参数包括调节盘旋转速度和方向;确定发生在所述的抛光步骤期间的晶片去除速率;根据所述确定的晶片材料去除速率和该垫磨损和调节模型计算校正的垫调节参数以便将晶片去除速率保持在最大和最小去除速率范围内;以及利用所述校正垫调节参数调节该抛光垫。
在本发明的至少一些实施例中,通过确定垫磨损和调节模型的输出和所述确定的晶片材料去除速率之间的差别来计算该校正的垫调节参数,或在至少一些实施例中,该校正的垫调节参数根据所述方程k=(k-1)+g*(k-(k-1))来校正,这里k是测量的晶片材料去除速率,k1是计算的晶片材料去除速率,g是估计增益,(k-(k1))是预测误差。
在本发明的另一方式中,提供计算机可读介质,其具有被计算机执行的指令,这些指令包括用于化学机械抛光工艺的计算机-执行软件应用程序。执行该工艺的这些指令包括:
a)从化学机械抛光设备中接受与在该化学机械抛光过程中处理的至少一个晶片的所述晶片去除速率相关的数据;以及
b)从步骤(a)的所述数据,计算在定义的最大值和最小值范围内的校正的垫调节参数,其中该校正的垫调节参数通过确定垫磨损和调节模型输出以及步骤(a)的所述数据之间的差别来计算。
在本发明的至少一些实施例中,计算校正的调节参数包括计算参数以使该参数在确定的最小和最大值范围内,或在至少一些实施例中,计算步骤(b)中的校正的垫调节参数包括执行递归最优化工艺。
在本发明的至少一些实施例中,晶片材料去除速率的最大值是晶片材料去除速率和向下调节力的关系曲线的饱和点值,或在至少一些实施例中,晶片材料去除速率的最大值是初始速率,或在至少一些实施例中,晶片材料去除速率的最小值由最短可接受晶片抛光时间定义。
在本发明的至少一些实施例中,使用先于计算的校正的垫调节参数的估计增益来调整该差别。
在本发明的另一方式中,提供在化学机械抛光(CMP)设备中调节平整表面的方法,所述设备带有抛光垫,晶片放在其上以从该晶片上去除材料,并将调节盘放在其上对该抛光垫进行调节,该方法包括:
a)通过
(i)确定至少一套垫调节参数和晶片材料去除速率之间的关系;
(ii)为每个所述至少一个垫调节参数和晶片材料去除速率确定最大和最小值;
(iii)记录这些关系及所述至少一个垫调节参数和晶片去除速率的最小和最大值;
生成一个垫磨损和垫调节模型,该模型将晶片材料去除速率定义为垫调节参数的函数;
b)在该CMP设备中,在第一套包括调节盘旋转速度和方向的垫调节参数下抛光晶片,选择该套参数用于将晶片材料去除速率保持在预选的最小和最大去除速率范围内;
c)确定在所述抛光步骤中的晶片材料去除速率;
d)根据所述步骤(b)所述确定的晶片材料去除速率和所述垫磨损和调节模型计算校正的垫调节参数,以便将晶片材料去除速率保持在最大和最小去除速率范围内;以及
f)利用校正的调节参数调节该抛光垫。
在本发明的另一方式中,在化学机械抛光(CMP)设备中调节平整表面的系统,所述设备带有抛光垫,晶片放在其上以从该晶片上去除材料,并将调节盘放在其上以对该抛光垫进行调节该系统包括:
a)垫磨损和调节模型,该模型将晶片材料去除速率定义为包括调节盘的旋转和方向的至少一个垫调节参数的函数;
b)用于在CMP设备中抛光晶片的抛光装置;
c)用于确定晶片材料去除速率的测量装置;
d)根据利用步骤(c)的装置和垫磨损和调节模型校正垫调节参数将晶片材料去除速率保持在最大和最小去除速率范围内的的计算装置。
这样,通过利用适当的调节角速度以将晶片去除速率保持在可接受的范围内,以及当去除速率下降到可接受的去除速率以下时,通过反转调节方向和/或调整角速度或其它调节参数,都可延长抛光垫的寿命。将“万能标准”的方法应用到垫调节参数,例如,不考虑晶片材料去除速率的实际变化而确定调节参数的方法,传统工艺会过度补偿,这样去除的垫材料比必须的多,并且加速垫磨损。相反,本发明通过确定仅对重调节受损垫最低限度所需要的因素来提供改进的调节参数。
附图说明
参照下面的附图及相关详细描述,可以更充分地理解本发明的各种目的,特征,和优点。
图1A-B显示一CMP机。图1A显示一传统的CMP机的俯视图。图1B显示图1A的该传统CMP机沿线A-A剖开的侧剖视图。
图2显示晶片材料去除速率和出现在图3B和3C之间的去除速率的平衡状态的指数衰减的实例。
图3A-C是抛光垫的剖视图。图3A是一个新抛光垫的视图。图3B是经过单次(几次)调节后抛光垫的视图。图3C显示一旧抛光垫,通过重复的调节其表面的粗糙度已经被消除。
图4A-C是抛光垫的剖视图。图4A是一新抛光垫的视图。图4B是在第一个角度方向调节操作后的抛光垫的视图。图4C显示在相反的该角方向调节后的同一个抛光垫。
图5是一反馈回路的流程图,该回路在CMP工艺最优化的至少一些实施例中使用。
图6是一流程图,说明数据采集和垫磨损和调节模型的生成的一个示例。
图7是基于图3和图4的抛光垫磨损模型,该模型用于在一CMP工艺中预测和最优化所述晶片去除速率。
图8是基于图3和图4的抛光垫恢复模型,该模型用于在一CMP工艺中预测和最优化所述晶片去除速率。
图9是基于图5和6的模型,其被用于预测和修改CMP操作参数以最优化晶片工艺。
图10是一用在本发明的至少一些实施例中的CMP机的侧剖视图。
图11是用在本发明的至少一些实施例中的计算机系统的框图,该系统包括工具表示(tool representation)和存取控制(access control)。
图12是根据本发明的至少一些实施例的软盘图示说明,该软盘可存储根据本发明的至少一些实施例的软件的各个部分。
具体实施方式
这里描述用于最大限度地延长抛光垫的寿命的CMP工艺的前馈和反馈控制的新颖方法。因为抛光垫可以在一段较长时间内抛光更多晶片而无需更换或调整(例如,该垫损坏部分的去除),延长垫寿命意味着减少CMP工艺的停机时间。术语晶片在一般意义上被用于包括任何需要抛光的基本平的物体。晶片包括,除单片结构(monolith structure)外,具有一层或多层或薄膜或沉积其上的其它结构的基片。
在CMP工艺中,该抛光垫表面需要维持一定程度的粗糙度和弹性以便提供要求的晶片材料去除速率。该垫的粗糙度和弹性随着晶片抛光的进行而减小,因此减小了该晶片材料的去除速率。初始的抛光垫表面情况(表面凸起(asperity)320)见图3A所示,此时表面粗糙度处于最大值。将该垫用于抛光后,这些凸起被推倒,且常常推倒的方向不同。为了对此补偿并恢复该垫的至少一些粗糙度,利用例如一调节盘调节该垫,该调节盘例如在图3B中箭头340指示的方向旋转。虽然在这里用盘式调节器描述本发明,但是也特别设想了其它调节机构的使用。然而,这将方向偏移(directional bias)引入到垫表面特征320。利用相同调节方向的后续调节操作可能导致垫表面凸起的方向性更强,因此阻碍了垫内浆料的流动,并且减小了该垫凸起和该抛光晶片之间的接触表面。这可通过图3C所示的凸起320的更大的方向偏移性看出。结果,随着垫表面特征的方向偏移的增大,晶片材料的去除速率恶化。图2显示由于图3A-C显示的调节过程所引起的去除速率随着时间的延长而降低。
图4A,4B和4C给出用于克服上述偏移问题的简化模型,其中调节盘的角速度方向是变换的。首先参见图4A,该图显示初始抛光垫表面情况。通过将抛光垫400与以第一角速度(例如,顺时针方向,如图4B的箭头420指示)运动的调节盘接触来调节该抛光垫400,这给该抛光垫表面特征440引入了某些方向性。在后续的调节操作中,将该调节盘的角速度方向反转(例如,逆时针方向,用图4C中的箭头460表示),以便消除(undue)先前的调节操作的影响。改变调节速度和方向使该表面的粗糙度和弹性变大。如图4A,4B和4C所示的过程可以在该垫的整个寿命周期重复进行,直到该垫没有活性部位(activesite)可用为止。
这样,该抛光垫可以在第一方向调节预定的次数,之后调节方向反转。随着该垫的老化,特定方向的调节操作的最佳数目可能改变(减少)。用于垫调节和恢复的模型相应地调整该过程。
上述的在晶片平面化和抛光垫调节期间的机械加工过程提供了用于最佳化平面化工艺的模型。通过根据该模型调整垫调节参数,可以延长该垫寿命而不减小该晶片材料去除速率。特别是,所述调节盘的速度和方向,可选择的其它操作变量,诸如调节频率,调节时间,在整个该垫表面的调节盘的平移(transitional)速度,在前馈和反馈回路中被调整,该回路用于预测并随后最优化垫调节操作参数。
根据本发明的至少一个实施例,基于对所述晶片抛光工艺的了解,生成了一个初始模型,将该模型用于图5所示的本发明的至少一些实施例中。基于所述初始模型,例如,该晶片和抛光垫参数保持不变,确定初始处理条件,这样对给定的一套调节参数将提供晶片材料去除速率在一预选的最小和最大值范围之间,以下称为晶片材料去除速率的“可接受的”范围。选择所述条件来避免垫的过度调节。
参见图5,根据步骤500中的初始条件抛光晶片。在步骤510中,测量被抛光晶片的厚度并计算晶片材料的去除速率,其信息随后被用在反馈回路以将晶片材料去除速率维持在可接受的范围内。将实际去除速率和预测去除速率(得自该垫磨损模型)进行比较。根据本发明的模型在步骤520中偏离(deviation),即,预测误差(prediction error),被用于调整垫调节参数以便补偿如在该模型中所确定的该抛光垫的减小的抛光能力,和/或修正任何未模拟的效应。在步骤530中,根据校正的调节参数调节该抛光垫。在步骤540中重复抛光,并通过重复步骤510-530,抛光结果被用于进一步校正抛光条件。
通过将所述晶片材料去除速率和调节参数保持在预选的最小和最大范围内,避免垫的过度调节;即,可以使用调节参数正好足以恢复抛光垫的效率,但是不会过度地损坏垫。在操作中,可选择得到能产生与最小可接受速率接近的晶片材料去除速率的垫调节参数,因为这些调节的影响因素较弱,因此,更有可能避免对该抛光垫的过度调节。然而,应当谨慎(或至少认识到)操作不要太接近该最小去除速率,因为垫状况的突然退化可能引起该晶片去除速率降到最小可接受速率以下。
参照特定抛光系统进行垫调节最优化。即,延长垫寿命时间的条件对正在被抛光的晶片类型,浆料及该抛光垫的组成(composition)来说是特定的。一旦晶片/浆料/抛光垫系统被确定,即可使用在此生成及论述的模型将该系统特征化。示例性的抛光垫和晶片参数包括抛光垫尺寸,抛光垫组成,浆料成分,晶片成分,抛光垫的旋转速度,抛光垫压力,以及该晶片的平移速度。
在本发明的至少一些实施例中,可以想象对可能用于用给定类型的晶片生产的每一个浆料/抛光垫晶片组合(即,对每一不同型号/牌子的浆料和每一型号/牌子的垫)产生单一模型(或至少复合模型的补充)。
图6显示在本发明的至少一些实施例中,生成所述垫磨损和调节模型的步骤流程图。在步骤600的实验设计(DOE)中,即,用于定义该模型的一组实验,晶片材料去除速率和第一套调节参数x1之间的关系,例如,调节盘角速度(rpm)是用该选定的抛光系统确定的。通过测量在不同的调节盘的角速度下的晶片材料去除速率,并使参数如抛光压力及抛光持续时间等保持不变来确定该关系。这样,在特定的条件下,例如,对特定的时间在特定的抛光垫和晶片速度下,抛光晶片,并确定材料去除速率。垫调节和晶片抛光(所述“抛光操作”)可以同时进行,即,利用如图10所示的设备,或垫调节跟着进行晶片抛光。该调节盘速度从晶片到晶片(厚度测量到厚度测量)逐渐增大,而其它参数保持不变。该晶片去除速率再一次被确定。可以产生如图7所示的曲线,该曲线说明了对一给定抛光系统,所述调节盘速度对所述晶片的材料去除速率的影响(所有其它参数保持不变)。该曲线被表示成对感兴趣的去除速率的线性曲线,但是,在本发明的至少一些实施例中,其可以是非线性的,例如二次或指数曲线。
在图6的步骤610中,如本发明的至少一些实施例所预期的,确定该调节参数的最小和最大值。参见图7,曲线700表示对给定的一套操作参数,晶片材料去除速率随时间的变化(该时间用被抛光的晶片数目确定)。该去除速率被描绘成随时间线性降低直到达到一平衡速率(equilibrium rate),其可以(但不要求)低于最小去除速率770,该最小去除速率由操作者确定,例如,根据生产需要确定。该曲线的斜率用角θ1描述。在至少一些实施例中,该曲线可以是线性的或非线性的,例如,指数或二次曲线等。如果需要最小晶片通过率,那么最小晶片材料去除速率由生产目标规定。最大晶片去除速率795被定义为初始去除速率。
对不同的调节盘速度(这里显示增加的速度)可以产生系列曲线720,740,760。去除速率范围780为该模型限定了去除速率的最大和最小值,其中最大速率是初始去除速率,而最小去除速率是由生产确定的。曲线700,720,740,760与最小去除速率的交点定义了可以在限定的垫调节参数下抛光的晶片的上限。角θ1,θ2,θ3和θ4分别用平衡曲线790与曲线700,720,740,760的交点定义。θ值是描述该抛光工艺对调节参数的响应的。θ值越大,该曲线斜率越陡,该平面化工艺对调节参数越敏感。
在步骤620,如本发明的至少一些实施例所预期的,晶片材料去除速率和第二调节参数例如垫调节方向之间的关系被在同一所述抛光系统中确定。在步骤630,x2,该第二套调节参数的最大和最小值及晶片材料去除速率被确定。
如上所述参见图3和4,一旦达到平衡晶片材料去除速率或最小可接受材料去除速率,通过反转垫调节所述方向(见图4C)恢复是可能的。参见图8,产生一曲线来说明调节垫旋转方向对晶片去除速率的影响(所有其它的变量保持不变)。曲线800说明了对一给定角速度,当凭借在反方向的调节减轻了抛光垫表面的变平程度时,该晶片材料去除速率随时间(用抛光的晶片数目确定)延长而增加。图中显示,该去除速率随时间线性增加,直到达到饱和点810,该点一般小于该垫的初始最大去除速率。在本发明的至少一些实施例中,该曲线可以是线性的或非线性的,例如,指数或二次曲线等。额外的抛光导致由相反方向的取向引起的表面粗糙度的降低,所以晶片材料去除速率又会下降。这样,在曲线的最大点,定义最大晶片材料去除速率815。如上所述,如果需要最小晶片通过率,可操作的最小晶片材料去除速率815可以由生产目标提出。去除速率范围880为所述垫恢复模型定义了该去除速率的最大值和最小值。
在本发明的至少一些实施例中,对所述调节盘的不同速度也产生了系列曲线820,840,860。每一曲线达到最大值然后下降,该最大值代表由调节方向的反转引起的抛光垫最佳恢复。对每一曲线800,820,840,860分别定义了角φ1,φ2,φ3和φ4。φ值描述该抛光垫的恢复。φ值越大,所述曲线斜率越陡,恢复工艺对调节参数越敏感。因为,对一条以一个给定的角速度及θ>φ调节的给定的实例曲线,通过调节方向的反转不可能完全补偿垫磨损。
根据上面的模型,晶片材料去除速率中的最大恢复一旦达到,该晶片材料去除速率又将下降,并且接近最小可接受的去除速率。该调节盘的方向再一次反转,而且晶片材料去除速率有望再一次增加。在图8恢复曲线中的系列最大值的每一个都会减小,直到在最小去除速率之上操作(performance)不再可能。这时,提高调节速度以将去除速率带回到可接受的范围。现在用较高速度的模型来预测未来操作。
这些研究的结果提供最大和最小晶片材料去除速率,以及在不同调节速度下的操作。另外,可以确定与曲线斜率相关的恒量θ14及φ14的值。虽然上述实验设计显示了一个调节参数,其说明晶片去除速率随参数大小的增大而增,但是,要意识到相反的关系也可能存在,这样最小参数值产生最大的晶片去除速率。相应地可以调整该模型。对本领域公知的抛光垫,晶片和浆料的任意组合可以确定最大和最小值的条件。其它的参数,直到xn,可以包括在该模型中,其最小和最大值可以如步骤640和650所指示的那样确定。
该模型可以表达为反应该系统的原始数据,或其可以用方程表示,例如多输入-多输出线性、二次和非线性方程,这些方程用于描述该系统各变量之间的关系。在步骤660中,基于上述模型,利用各种方法构建反馈和前馈控制算法。例如,可以将所述晶片去除速率定义为所有所述垫调节参数x1到xn的加权贡献(weighted contribution)。利用各种方法,例如递归参数估计(recursive parameter estimation),该算法被用于最优化调节参数。递归参数估计被用于诸如此类情形,在这些情形,在接收到输入-输出数据同时,即可在线模拟(model on line)。递归参数估计非常适合在线决策,例如自适应控制(adaptive control)或自适应预测(adaptive prediction)。关于识别算法和原理的更详细的内容,见新泽西上鞍河(Upper Saddle River)  的Prentice Hall出版公司1999年出版的,L·扬所著“系统识别-给使用者的理论”的第2版。
在本发明的至少一些实施例中,所述CMP垫寿命是表面粗糙度和垫弹性的函数,如方程1所示:
PadLife=f(surface roughness,elasticity)(1)
在本发明的至少一些实施例中,根据方程2描述所述晶片材料去除速率为:
RemovalRate ] min max = f ( ω disk ] min max , f ] i min max , t conditioning ] min max , direction , T 2 ] min max ) - - - ( 2 )
这里ωdisk是在所述抛光垫调节期间,所述调节盘的所述角速度(旋转速度,例如rpm),方向是旋转的方向,即所述调节盘的顺时针和逆时针方向,T2是所述调节盘移过整个垫表面的平移速度,见图10中示例性的CMP设备(下面将详细描述该设备),tconditioning是调节持续时间,f是调节频率。频率被作为间隔来测量,例如,在各调节操作之间的被抛光的晶片数目,而方向按上述方式定义。例如,频率1的意思是在每一晶片被抛光后调节所述垫,而频率3的意思是在每三个晶片被抛光后调节垫。扫过速度是该调节盘移动过该整个抛光垫表面的速度。该移动用图10中的箭头T2指示。为了最初研究的目的,在本发明的至少一些实施例中,假设t(时间),T2(扫过速度),f(频率)保持不变。
目标函数(objective function)是通过控制该调节盘的速度和方向,以及可选择地,通过控制其它参数,诸如调节频率和持续时间,所述调节盘向下的力,该调节盘扫过所述垫表面的平移速度,将去除速率保持在最小和最大可允许范围内(“可接受速率”)。每一个该调节参数也被保持在其被确定的边界条件内,即最大和最小值内。
然后可以将从该模型获得的这些CMP参数(变量)和常数编程进入计算机,之后,该计算机可不断地监控并适当地改变工艺过程中的所述参数以提高所述晶片去除速率和所述垫寿命,如图9所示。来自基础研究901的参数被输入到该计算机或其它控制器902和估计器(estimator)903,该控制器902运行该晶片抛光程序,该估计器903监控并修改所述工艺参数。实际输出(即,测量的去除速率)904被监控并将其与估计器903计算的预测输出(即,目标去除速率)905比较。确定该实际输出904和该预测输出905之间的差别906并将907报告给该估计器903,然后,903适当地为工序902生成校正参数908。
基于下面方程3为反馈控制校正模型参数。
k=(k1)+g*(k-(k1))  (3)
这里k是当前参数,k1是先前估计参数,g是估计增益,(k-(k1))是预测误差。估计增益是由使用者选择的常数,其被用做机器误差或变化性的衡量标准(measure)。增益因子(gain factor)可以凭经验或用统计方法确定。在至少一些实施例中,该增益大约在0.5到1.0范围,或在至少一些实施例中,大约在0.7到0.9范围.
通过例子,可以如上述的那样,为感兴趣的抛光系统生成一系列的曲线,以确定晶片去除速率与调节盘旋转速度及方向之间的关系。除了正在被研究的参数以外,所有的操作条件保持不变,用标准抛光工序生成曲线。示例性的保持不变的抛光垫和晶片参数包括抛光垫尺寸,抛光垫组成,晶片成分,抛光时间,抛光力,该抛光垫的旋转速度,以及该晶片的旋转速度。可变参数至少包括调节盘的角速度和方向;然而,其它参数也可以包括在该模型中。利用如图6所示的本发明的至少一些实施例的模型,和如在如图7和8中生成的曲线,确定θ14,φ14值,晶片材料去除速率的最小和最大值,向下的调节力以及调节盘的旋转速度。既可以凭经验也可以用历史数据或来自DOE的数据,定义模拟晶片平面化的算法,并可以为感兴趣的抛光系统确定第一套垫调节参数。
将模拟所述垫磨损和垫恢复工艺的算法输入到估计器,并且根据该模型计算预测的晶片材料去除速率。将所述实际结果与所述预测结果进行比较,并且将预测误差反馈到估计器以重新定义该模型。然后确定新的调节参数。基于在此描述的模型,这些参数足以使垫表面恢复活力而又不过度调节。这样,即为所述校正的调节参数选择符合该模型标准的调节参数的最小增量。该校正模型的后续评估将确定该校正的调节参数适合所述工艺的程度。如果需要,可以做进一步的修改,直到该工艺最优化。
在本发明的至少一些实施例中,用图7和8显示的递增曲线以由示例定义的不连续递增或步骤校正该调节参数。生成合适的曲线数目以使步骤小到可以对调节参数进行较小的调整。
还有,在本发明的至少一些实施例中,通过给这些合适的参数插入值可以确定所述校正的调节参数,这些参数可以位于各曲线间。在初始产生的曲线只有几条,以及实验结果不能提供对这些参数的精确分辨的情况下,插值法可能是合适的。
虽然从所述预测速率得到的偏离,部分地反映了该模型不能说明对该工艺有贡献的所有的因素(这可以用反馈工艺的后续迭代(subsequent iteration)得到改进),但是,所述预测晶片材料去除速率随时间的偏离表明在CMP垫抛光中的退化。通过辨别和修改所述垫调节工艺来解决抛光能力的这些变化,可以保持最佳的晶片材料去除速率而不过度调节调节垫,例如,在所述系统的饱和点以上操作。
该方法的另一特点是用增益因子来限制预测误差,如方程3所示。因此,该方法提出该模型不必对预测值的偏离做100%的校正。可以用一增益因子反映测量的或计算的参数的不确定性,或“抑制”工艺参数变化过快,变化程度过大带来的影响。例如,该模型可能过度补偿所述预测误差,从而需要另一个调整来对这个过度调整作出反应。这将引进一个最优化过程,在所述最优化条件实现以前,该过程是跳跃的并进行了数次迭代。反馈控制校正参数中增益因子的使用限制了该模型将对所述预测误差作出反映的程度。
一旦理解并最优化了基本系统,即有可能凭经验改变其它调节操作参数并获得它们对垫调节和晶片抛光的影响效果。例如,调节向下的力可以增大(或减小),而在所述初始研究中可以将其设定为常数。监控该系统以便确定这一改变对该系统的影响。很显然,其它与垫磨损和调节有关的因子也可以用这种方式得出。通过例子,调节时间(所述盘在所述垫上的停留时间),调节盘平移速度,向下的调节力等可以用这一方式研究。另外,可以修改该模型以将其它参数包含在该模型中。
可以想象用如图10所示的设备1000可以实施本发明的至少一些实施例。该设备有一调节系统1010,其包括运载组件1020,连接到该运载组件上的调节盘1030,以及可耦合到运载组件上以便控制所述向下的力(F)和所述调节盘的旋转速率(ω)的控制器1040。该运载组件可以有一调节盘1030连接其上的臂1050和移动该调节盘使与平整表面接触和脱离接触的装置1060a-d。例如,控制器1040可耦合到移动装置上以调整携带着调节盘(1060a、1060b、1060c、1060d)的臂的高度和位置。对晶片位置和移动控制的类似的控制也可以存在。在操作中,该控制器调整所述调节盘的所述操作参数,例如,向下的力和旋转速率,以响应晶片材料去除速率的变化。该控制器可以由计算机控制以便根据计算的调节方式(recipe)自动地提供调节。这样,该设备提供了一种装置,该装置用于在所述垫1080的整个工作寿命期间,选择性地改变所述垫调节参数以便延长垫寿命而不损害所述晶片1090的平面化工艺。本发明的至少一些实施例也可以考虑使用其它类型的设备,其中,例如,具有其可调整的高度,位置,和/或旋转的其它元件。
用于执行前馈和反馈回路的其它设备包括一薄膜厚度测量工具以提供计算晶片材料去除速率所需要的厚度数据。该工具也可置于所述抛光设备上以提供在线(in line),原位测量(in situ measurement),或其也可以位于远离所述抛光设备的位置。该工具可以使用光学的,电的,声学的或机械测量方法。从Nanometrics(位于美国加利福尼亚州的米尔皮塔斯市)或Nova测量仪器公司(亚利桑那州的菲尼克斯市)可以获得合适的厚度测量仪器。基于测量的薄膜厚度和计算的去除速率,利用本发明提供的模型和算法,可以用计算机来计算最佳垫调节方式。可以从美国加利福尼亚州的应用材料有限公司获得合适的集成控制器(integrated controller)和抛光设备(具有iAPC的Mirra或具有iAPC的Mirra Mesa)。
利用在此讨论的概念可以抛光的示例性的半导体晶片,包括,但不限于由硅、钨、铝、铜、BPSG、USG、热氧化物、硅相关薄膜、以及低k值电介质及其混合物制成的晶片。
用常规CMP抛光垫的许多不同类型可以实施本发明的方法。在本技术领域,有许多一般由聚氨脂(urethane)或其它聚合物制成的CMP抛光垫。然而,任何可再调节的抛光垫都可用本发明的方法进行评估和最优化。示例性的抛光垫包括EpicTM抛光垫(伊利诺斯州奥罗拉市的Cabot微电子公司)和RodelIC1000、IC1010、IC1400抛光垫(特拉华州纽瓦克的Rodel公司),OXP系列抛光垫(Sycamore Pad),ThomasWest垫711、813、815、815-Ultra、817、826、828以及828-E1(ThomasWest)。
此外,许多不同类型的浆料可以用在本发明的方法中。本技术领域中有许多CMP浆料,一般将其制成用于抛光半导体晶片中的特定类型金属。示例性的浆料包括Semi-Sperse(可用的有Semi-Sperse12、Semi-Sperse25、Semi-SperseD7000、Semi-SperseD7100、Semi-SperseD7300、Semi-SperseP1000、Semi-SperseW2000及Semi-SperseW2585)(伊利诺斯州奥罗拉市的Cabot微电子公司)和RodelILD1300、Klebesol系列、Elexsol、MSW1500、MSW2000系列、CUS系列以及PTS(Rodel)。
在至少一些实施例中,可以使用本发明的该方法为工具安排(toolscheduling)预测垫寿命。例如,如果晶片数目在每一调节循环之后减少,可预测垫寿命结束点并将该预测用于安排工具重组(retooling)。
上面在晶片抛光参数保持不变的条件下描述了本发明。然而,在本发明的至少一些实施例中,当该晶片抛光参数通过最优化引擎(optimization engine)变化时该套方法也可以和最优化引擎一起使用。
在至少一些实施例中,垫调节的最优化可以和晶片抛光最优化一起完成。这可以通过使该最优化搜索引擎的目标函数将描述抛光和调节参数的函数最小化的最优化来完成。
假设抛光参数的数n在所述晶片抛光期间被改变,N1、N2、N3…Nn,以及控制参数的数y,Y1、Y2、…Yy发生改变,那么S=WN1(N1previous-N1current)2+WN2(N2previous-N2current)2+…WNn(Nnprevious-Nncurrent)2+Wωpreviouscurrent)2+Wd(dprevious-dcurrent)2+WY1(Y1previous-Y1current)2+WY2(Y2previous-Y2current)2+WYy(Yyprevious-Yycurrent)2
这里Wx是参数x(例如,N1、N2、Y1、Y2、F等)的加权因数,ω是所述垫旋转速度,d是旋转的所述调节垫方向,该函数还可以包含其它垫调节参数。然后,该最优化过程试图最小化S。这样,当所述抛光参数保持不变或所述抛光参数通过最优化要改变的条件下,可以使用本发明的该方法。
本发明的许多方式可以用计算机控制,其可包括计算机或其它控制器902,可以(和/或)用控制/计算结合体包括图11所示的计算机来控制。参见图11,总线1156用作连接系统1111的其它单元的主要信息高速路。CPU1158是该系统的中央处理单元,执行实施本发明实施例的所述工艺以及其它程序所要求的计算和逻辑操作。只读存储器(ROM)1160和随机存储器(RAM)1162构成该系统的主存储器。磁盘控制器1164连接一个或多个磁盘驱动器到该系统总线1156。这些磁盘驱动器是,例如,软盘驱动器1170,或CD ROM或DVD(数字视频盘)驱动器1166,或内部或外部硬盘驱动器1168。这些不同的盘驱动器和盘控制器是任选设备。
显示器接口1172连接显示器1148,并使信息从所述总线1156显示在显示器1148上。显示器1148可以用于显示图形化用户界面。利用,例如,通信端口1174,可实现与上面描述的诸如该系统其它单元的外围设备之间的通信。光纤和/或电缆和/或导体和/或光通信(例如,红外等)和/或无线通信(例如,射频(RF)等)可以用作外围设备和通信端口1174之间的传输介质(transport medium)。外围接口1154连接主板1150和鼠标1152,使输入数据被传送到总线1156上,除这些单元之外,系统1111也可选择性地包括红外发射器和/或红外接收器。当该计算机系统与一个或多个经由红外信号传输发射/接收数据的处理单元/站一起使用时,红外发射器是可选择地使用。代替使用红外发射器或红外接收器,该计算机系统也可以选择使用低功率无线电发射器1180和/或低功率无线电接收器1182。低功率无线电发射器发射信号被生产工艺单元接收,并通过该低功率无线电接收器接收来自这些单元的信号。该低功率无线电发射器和/或接收器是工业标准设备。
虽然图11显示的系统1111说明具有单一处理器,单一硬盘驱动器和单一本地存储器,但是系统1111是可选择性地适合配有任意多个处理器或存储设备或处理器或存储设备的组合。例如,系统1111可以用任一可根据本发明实施例的原理的合适的处理系统取代,或可以与任一根据本发明实施例的原理的合适的处理系统结合,包括复杂的计算器,手持,便携式电脑/笔记本,微型,大型机和超级计算机,以及它们构成的处理系统网络的组合。
图12是示例性的计算机可读存储介质1248的图示说明,用于存储计算机可读代码或指令。作为例子,介质1248可以和如图11显示的磁盘驱动器一起使用。典型地,诸如软盘,或CD ROM,或数字视频盘的存储介质将包含,例如,用于单字节语言的多字节locale和用于控制上述系统以使该计算机能执行在此描述的功能的程序信息。作为选择,图11图解说明的ROM 1160和/或RAM 1162也可以用于存储该程序信息,该信息用于给中央处理单元1158下指令以执行与即时处理相关联的操作。用于存储信息的合适的计算机可读介质的其它例子包括磁的,电的,或光(包括全息)存储器以及它们的结合等。另外,本发明的至少一些实施例构想所述介质可以是传输形式(例如,数字或传播信号)。
一般地,应当强调,本发明实施例的多个单元可以在硬件,软件及其组合件中实施。在这样的实施例中,能在硬件和/或软件中实施多个单元和步骤以执行本发明的所述功能。在本发明的这样的实施例中,可以采用任一当前能得到的或未来开发的计算机软件语言和/或硬件单元。例如,利用C,C++,或适合正在使用的处理器的任合汇编语言能执行上面所述的至少一些功能性。其也可以用编译器(interpretiveenvironment)编写,例如Java,并且被传送到多个目的地,到达不同的用户。
虽然在此已经给出并详细描述了具体表现本发明思想的多个实施例,但是,本领域的技术人员可以容易地想出许多其它的具体表现这些思想的各种实施例。

Claims (49)

1.一种在化学机械抛光(CMP)设备中调节平整表面的方法,其中该CMP设备具有抛光垫,晶片放在其上以从该晶片上去除材料,并将调节盘放在其上以对该抛光垫进行调节,该方法包括以下步骤:
a)提供一个垫磨损和调节模型,该模型将晶片材料去除速率定义为包括调节盘旋转速度和/或旋转方向的垫调节参数至少一个参数的函数;
b)在该CMP设备中,在选择用于将晶片材料去除速率保持在预选的最小和最大去除速率范围内的第一套垫调节参数下抛光晶片;
c)确定在所述抛光步骤期间出现的晶片材料去除速率;
d)基于所述步骤(c)确定的所述晶片材料去除速率以及所述步骤(a)的所述垫磨损和调节模型,计算校正的垫调节参数;以及
e)利用该校正的调节参数调节所述抛光垫。
2.如权利要求1所述的方法,其中步骤(d)包括计算校正的垫调节参数以便将晶片材料去除速率保持在最大和最小去除速率范围内。
3.如权利要求1所述的方法,其中该第一套垫调节参数凭经验确定。
4.如权利要求1所述的方法,其中该第一套垫调节参数用历史数据确定。
5.如权利要求1所述的方法,其中所述第一套垫调节参数用实验设计(DOE)的结果确定。
6.如权利要求1所述的方法,其中所述垫磨损和垫恢复模型的所述垫调节参数还包括选自包括有调节频率、调节持续时间及调节期间调节盘的平移速度的调节参数组的调节参数。
7.如权利要求1所述的方法,其中计算所述校正的调节参数的步骤(d)包括计算参数以使该参数在确定的最小和最大值范围内。
8.如权利要求1所述的方法,其中所述校正的垫调节参数是通过确定所述垫磨损和调节模型的输出与步骤(c)所述确定的晶片材料去除速率之间的差别来计算。
9.如权利要求8所述的方法,其中所述差别用在计算校正的调节参数之前的估计增益来调整。
10.如权利要求9所述的方法,其中所述增益被选来表示被测参数的变化性或可靠性。
11.如权利要求1所述的方法,其中所述校正的垫调节参数根据方程k=(k1)+g*(k-(k1))校正,这里k是测量的晶片材料去除速率,k1是计算的晶片材料去除速率,g是估计增益,(k-(k1))是预测误差。
12.如权利要求1所述的方法,其中步骤(b)到步骤(e)重复进行。
13.如权利要求1所述的方法,其中步骤(d)中的计算校正的垫调节参数的所述步骤包括执行递归最优化工艺。
14.如权利要求1所述的方法,其中晶片材料去除速率的所述最大值是所述晶片材料去除速率与向下调节力关系曲线的饱和点值。
15.如权利要求1所述的方法,其中晶片材料去除速率的所述最大值是初始速率。
16.如权利要求1所述的方法,其中晶片材料去除速率的所述最小值用最长可接受晶片抛光时间定义。
17.如权利要求1所述的方法,其中所述晶片材料去除速率用下面的方程定义:
RemovalRate ] min max = f ( ω disk ] min max , f ] min max , t conditioning ] min max , direction , T 2 ] min max )
这里ωdisk是抛光垫调节期间的调节盘的角速度,t是调节时间,f是调节频率,方向是调节盘的旋转方向,T2是调节期间调节盘的扫过速度。
18.用于调节抛光垫的一种设备,该抛光垫通过从基片上去除材料来抛光该基片,该设备包括:
具有可位于抛光垫的平整表面之上的臂的运载组件;
连接到该运载组件上的调节盘;
可控制所述调节盘的操作参数的制动器;
可耦合到该制动器的控制器,该控制器运转该制动器将所述调节盘的操作参数按照其是垫磨损和垫恢复模型的函数来调整,该模型包括:
将晶片材料的去除速率作为包括调节盘旋转速度和方向的垫调节参数的函数来确定。
19.如权利要求18所述的设备,其中所述垫磨损和垫恢复模型的垫调节参数还包括选自包括调节持续时间、调节频率及调节期间调节盘的平移速度的调节参数组的调节参数。
20.如权利要求18所述的设备,其中所述差别用估计增益来计算校正的垫调节参数进行调整。
21.如权利要求18所述的设备,其中所述校正的调节参数根据方程k=(k1)+g*(k-(k1))校正,这里k是测量的晶片材料去除速率,k1是计算的晶片材料去除速率,g是估计增益,(k-(k1))是预测误差。
22.一种生成用于从晶片上去除材料的抛光垫的垫调节最优化的垫磨损和垫调节模型的方法,该方法包括以下步骤:
a)确定至少一个垫调节参数与晶片材料去除速率之间的关系;
b)为每一个所述至少一个垫调节参数和所述晶片材料去除速率,确定最大和最小值;
c)记录所述至少一个垫调节参数与所述晶片去除速率之间的关系及它们的最小和最大值。
23.如权利要求22所述的方法,其中所述至少一个垫调节参数包括多个参数,并且所述晶片去除速率被定义为所述多个垫调节参数的加权函数。
24.如权利要求22所述的方法,其中所述至少一个垫调节参数包括调节盘旋转速度。
25.如权利要求24所述的方法,其中所述至少一个垫调节参数还包括调节盘旋转方向。
26.如权利要求22所述的方法,其中所述至少一个垫调节参数包括一个或多个参数,这些参数选自包括由调节盘向下的力、调节盘的旋转速率和方向,调节频率和调节持续时间,以及调节盘平移速度所组成的参数组。
27.如权利要求22所述的方法,其中所述至少一个调节参数和晶片去除速率之间的所述关系通过逐渐改变所述调节参数和测量相应的晶片去除速率来确定。
28.如权利要求22所述的方法,其中所述调节参数的所述最大值是高于该值时观察不到晶片去除速率的步进递增的值。
29.如权利要求22所述的方法,其中所述调节参数的最小值是提供最小晶片去除速率的值。
30.如权利要求22所述的方法,还包括:
在所述CMP设备中,在第一套垫调节参数下抛光晶片,选择该套参数是为将晶片材料去除速率保持在预选的最小和最大去除速率范围内,该套参数包括调节盘旋转速度和方向;
确定出现在所述抛光步骤期间的晶片材料去除速率;
基于所述确定的晶片材料去除速率和所述垫磨损和调节模型计算校正的垫调节参数以将晶片材料去除速率保持在最大和最小去除速率范围内;以及
用所述校正的垫调节参数调节所述抛光垫。
31.如权利要求30所述的方法,其中所述校正的垫调节参数通过确定所述垫磨损和调节模型的输出与所述确定的晶片材料去除之间的差别来计算。
32.如权利要求30所述的方法,其中所述校正的垫调节参数根据方程k=(k1)+g*(k-(k1))校正,这里k是测量的晶片材料去除速率,k1是计算的晶片材料去除速率,g是估计增益,(k-(k1))是预测误差。
33.一种计算机可读介质包括由计算机执行的指令,这些指令包括用于化学机械抛光工艺的计算机执行的软件应用程序,执行所述工艺的所述指令包括:
a)接受来自化学机械抛光设备中的,与在所述化学机械抛光工艺中处理的至少一个晶片的所述晶片材料去除速率有关的数据;
b)从步骤(a)的所述数据,计算在定义的最大和最小值范围内的校正的垫调节参数,其中所述校正的垫调节参数通过确定垫磨损和调节模型的输出与步骤(a)的所述数据之间的差别来计算。
34.如权利要求33所述的介质,其中计算校正的调节参数包括计算参数以使该参数在所述确定的最小和最大值范围内。
35.如权利要求33所述的介质,其中所述差别用在计算校正的垫调节参数之前的估计增益来调整。
36.如权利要求33所述的介质,其中在步骤(b)中计算校正的垫调节参数包括执行递归最优化工艺。
37.如权利要求33所述的介质,其中晶片去除速率的最大值是所述晶片材料去除速率与向下调节力的关系曲线的饱和点值。
38.如权利要求33所述的介质,其中晶片材料去除速率的所述最大值是所述初始速率。
39.如权利要求33所述的介质,其中晶片材料去除速率的所述最小值用最短可接受晶片抛光时间来定义。
40.在化学机械抛光(CMP)设备中调节平整表面的一种方法,其中该设备具有抛光垫,晶片放在其上以从该晶片上去除材料,并将调节盘放在其上以对该抛光垫进行调节,该方法包括以下步骤:
a)通过:
(i)确定至少一套垫调节参数和晶片材料去除速率之间的关系;
(ii)为每一个所述至少一个垫调节参数和所述晶片材料去除速率确定最大和最小值;
(iii)记录这些关系及所述至少一个垫调节参数和所述晶片去除速速率的最小和最大值;
生成一个垫磨损和垫调节模型,该模型将晶片材料去除速率定义为垫调节参数的函数;
(b)在该CMP设备中,在第一套包括调节盘旋转速度和方向的垫调节参数下抛光晶片,选择该套参数用于将晶片材料去除速率保持在预选的最小和最大去除速率范围内;
(c)确定在所述抛光步骤中出现的晶片材料去除速率;
(d)根据所述步骤(b)的所述确定的晶片材料去除速率和所述垫磨损和调节模型来计算校正的垫调节参数,以便将晶片材料去除速率保持在最大和最小去除速率范围内;以及
(f)用所述校正的调节参数调节该抛光垫。
41.在化学机械抛光(CMP)设备中调节平整表面的一个系统,所述设备带有抛光垫,晶片放在其上以从该晶片上去除材料,并将调节盘放在其上以对该抛光垫进行调节,该系统包括:
a)垫磨损和调节模型,该模型将晶片材料去除速率定义为包括调节盘的旋转和方向的至少一个垫调节参数的函数;
b)用于在该CMP设备中抛光晶片的抛光装置;
c)用于确定晶片材料去除速率的测量装置;
d)根据利用步骤(c)的装置及垫磨损和调节模型校正垫调节参数,以将晶片材料去除速率保持在最大和最小去除速率范围内的计算装置。
42.如权利要求41所述的系统,其中所述第一套垫调节参数用所述实验设计(DOE)的结果来确定。
43.如权利要求41所述的系统,其中所述垫磨损和垫恢复模型的所述垫调节参数还包括选自包括有调节频率、调节持续时间及调节期间调节盘的平移速度的调节参数组的调节参数。
44.如权利要求41所述的系统,其中计算校正调节参数的所述步骤包括计算参数以使该参数在确定的最小和最大值范围内。
45.如权利要求41所述的系统,其中校正的垫调节参数通过确定所述垫磨损和调节模型的输出与用步骤(c)的所述装置测量的所述晶片材料去除速率之间的差别来计算。
46.如权利要求45所述的系统,其中所述差别用在计算校正的调节参数之前的估计增益进行调整。
47.如权利要求41所述的系统,其中步骤(c)中校正的垫调节参数的计算步骤包括执行递归最优化工艺。
48.如权利要求41所述的系统,其中晶片材料去除速率的最大值是所述晶片材料去除速率与向下调节力关系曲线的饱和点值。
49.如权利要求41所述的系统,其中晶片材料去除速率的最大值是所述初始速率值。
CN02813615A 2001-06-19 2002-06-17 控制化学机械抛光垫速度以提高垫寿命的方法和装置 Expired - Fee Related CN100577361C (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US30579801P 2001-07-16 2001-07-16
US60/305,798 2001-07-16
US31874301P 2001-09-12 2001-09-12
US60/318,743 2001-09-12
US09/998,372 2001-11-30
US09/998,372 US6910947B2 (en) 2001-06-19 2001-11-30 Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life

Publications (2)

Publication Number Publication Date
CN1524027A true CN1524027A (zh) 2004-08-25
CN100577361C CN100577361C (zh) 2010-01-06

Family

ID=27501750

Family Applications (1)

Application Number Title Priority Date Filing Date
CN02813615A Expired - Fee Related CN100577361C (zh) 2001-06-19 2002-06-17 控制化学机械抛光垫速度以提高垫寿命的方法和装置

Country Status (6)

Country Link
US (2) US6910947B2 (zh)
EP (1) EP1401614A1 (zh)
JP (1) JP2005509531A (zh)
KR (1) KR100904867B1 (zh)
CN (1) CN100577361C (zh)
WO (1) WO2002102548A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102922415A (zh) * 2011-08-10 2013-02-13 无锡华润上华科技有限公司 延长研磨垫使用周期的化学机械研磨方法
CN103372807A (zh) * 2012-04-19 2013-10-30 无锡华润上华科技有限公司 Cmp研磨方法
CN104416461A (zh) * 2013-09-02 2015-03-18 无锡华润上华科技有限公司 Cmp研磨速率的精确量测方法
CN107234495A (zh) * 2017-07-24 2017-10-10 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
CN111055212A (zh) * 2018-10-17 2020-04-24 凯斯科技股份有限公司 化学机械式研磨装置的调节器
CN113710422A (zh) * 2019-03-08 2021-11-26 应用材料公司 使用时间份额控制的化学机械抛光

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
WO2003066282A2 (en) 2002-02-04 2003-08-14 Kla-Tencor Technologies Corp. Systems and methods for characterizing a polishing process
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7089081B2 (en) * 2003-01-31 2006-08-08 3M Innovative Properties Company Modeling an abrasive process to achieve controlled material removal
SG153668A1 (en) * 2003-03-25 2009-07-29 Neopad Technologies Corp Customized polish pads for chemical mechanical planarization
TWI283817B (en) * 2003-05-30 2007-07-11 Tokyo Electron Ltd Method of operating a process control system and method of operating an advanced process control system
US7186651B2 (en) * 2003-10-30 2007-03-06 Texas Instruments Incorporated Chemical mechanical polishing method and apparatus
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
US7536371B2 (en) * 2005-12-05 2009-05-19 Insyst Ltd. Apparatus and method for the analysis of a process having parameter-based faults
TWI287483B (en) * 2005-12-23 2007-10-01 Ind Tech Res Inst A removal rate estimating method of a chemical mechanical polishing process under mixed products or mixed layers
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
US7699972B2 (en) * 2006-03-08 2010-04-20 Applied Materials, Inc. Method and apparatus for evaluating polishing pad conditioning
US7451122B2 (en) * 2006-03-29 2008-11-11 Honeywell International Inc. Empirical design of experiments using neural network models
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US7846007B2 (en) * 2006-06-30 2010-12-07 Memc Electronic Materials, Inc. System and method for dressing a wafer polishing pad
US7846006B2 (en) * 2006-06-30 2010-12-07 Memc Electronic Materials, Inc. Dressing a wafer polishing pad
JP2009033105A (ja) * 2007-06-25 2009-02-12 Panasonic Corp 半導体装置の製造方法
US20090126495A1 (en) * 2007-11-15 2009-05-21 The Ultran Group, Inc. Ultrasonic Spectroscopic Method for Chemical Mechanical Planarization
US20090200674A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Structure and method of forming transitional contacts between wide and thin beol wirings
US8337279B2 (en) 2008-06-23 2012-12-25 Applied Materials, Inc. Closed-loop control for effective pad conditioning
US8221193B2 (en) * 2008-08-07 2012-07-17 Applied Materials, Inc. Closed loop control of pad profile based on metrology feedback
JP5415735B2 (ja) 2008-09-26 2014-02-12 株式会社荏原製作所 ドレッシング方法、ドレッシング条件の決定方法、ドレッシング条件決定プログラム、および研磨装置
TWI381904B (zh) * 2009-12-03 2013-01-11 Nat Univ Chung Cheng The method of detecting the grinding characteristics and service life of the polishing pad
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US20110195636A1 (en) * 2010-02-11 2011-08-11 United Microelectronics Corporation Method for Controlling Polishing Wafer
SG173929A1 (en) * 2010-02-17 2011-09-29 United Microelectronics Corp Method for controlling polishing wafer
KR101126382B1 (ko) 2010-05-10 2012-03-28 주식회사 케이씨텍 화학 기계식 연마시스템의 컨디셔너
US8367429B2 (en) * 2011-03-10 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive endpoint method for pad life effect on chemical mechanical polishing
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
JP6025055B2 (ja) * 2013-03-12 2016-11-16 株式会社荏原製作所 研磨パッドの表面性状測定方法
JP6622720B2 (ja) * 2015-01-07 2019-12-18 株式会社荏原製作所 研磨パッドの表面性状測定装置を備えたcmp装置
DE102015220090B4 (de) * 2015-01-14 2021-02-18 Siltronic Ag Verfahren zum Abrichten von Poliertüchern
US9406617B1 (en) 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
JP2017121672A (ja) * 2016-01-05 2017-07-13 不二越機械工業株式会社 ワーク研磨方法および研磨パッドのドレッシング方法
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9761484B1 (en) 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9768118B1 (en) 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
CN107900788B (zh) * 2017-11-24 2020-04-24 上海华力微电子有限公司 一种改善层间介质研磨工艺厚度稳定性的方法
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
US20200130136A1 (en) * 2018-10-29 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing apparatus and method
US11331767B2 (en) 2019-02-01 2022-05-17 Micron Technology, Inc. Pads for chemical mechanical planarization tools, chemical mechanical planarization tools, and related methods
US11794305B2 (en) 2020-09-28 2023-10-24 Applied Materials, Inc. Platen surface modification and high-performance pad conditioning to improve CMP performance
EP4301549A1 (en) * 2021-03-05 2024-01-10 Applied Materials, Inc. Control of processing parameters during substrate polishing using cost function or expected future parameter changes
US20220379431A1 (en) * 2021-06-01 2022-12-01 Applied Materials, Inc. Methods of modeling and controlling pad wear

Family Cites Families (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (zh) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) * 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
EP0553285B1 (en) 1990-10-16 2000-03-01 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
WO1992014197A1 (en) 1991-02-08 1992-08-20 Kabushiki Kaisha Toshiba Model forecasting controller
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
DE4447447C2 (de) 1994-12-29 2000-07-06 Pacesetter Ab Jaerfaella Herzschrittmacher
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US5751582A (en) 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
WO1997012300A1 (en) * 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5655951A (en) * 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5665951A (en) 1996-02-08 1997-09-09 Newman; Gary H. Customer indicia storage and utilization system
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
JP3699776B2 (ja) 1996-04-02 2005-09-28 株式会社日立製作所 電子部品の製造方法
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) * 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6128016A (en) 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US6094688A (en) * 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
WO1998045090A1 (en) * 1997-04-04 1998-10-15 Obsidian, Inc. Polishing media magazine for improved polishing
US5912678A (en) * 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US5975994A (en) * 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
SG66487A1 (en) * 1997-07-11 1999-07-20 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6113462A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
TW400621B (en) 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
US6271670B1 (en) 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6157864A (en) 1998-05-08 2000-12-05 Rockwell Technologies, Llc System, method and article of manufacture for displaying an animated, realtime updated control sequence chart
JP2956694B1 (ja) * 1998-05-19 1999-10-04 日本電気株式会社 研磨装置及び研磨方法
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6277014B1 (en) * 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
JP3019079B1 (ja) * 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
US6280289B1 (en) * 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
JP4365914B2 (ja) * 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
DE19900690C1 (de) 1999-01-05 2000-05-18 Pacesetter Ab Jaerfaella Herzschrittmacher
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6281127B1 (en) 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6268270B1 (en) * 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6417014B1 (en) * 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
US6096649A (en) 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6465263B1 (en) * 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6616513B1 (en) * 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6291367B1 (en) * 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6878038B2 (en) * 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6400162B1 (en) * 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102922415A (zh) * 2011-08-10 2013-02-13 无锡华润上华科技有限公司 延长研磨垫使用周期的化学机械研磨方法
CN103372807A (zh) * 2012-04-19 2013-10-30 无锡华润上华科技有限公司 Cmp研磨方法
CN103372807B (zh) * 2012-04-19 2016-03-02 无锡华润上华科技有限公司 Cmp研磨方法
CN104416461A (zh) * 2013-09-02 2015-03-18 无锡华润上华科技有限公司 Cmp研磨速率的精确量测方法
CN107234495A (zh) * 2017-07-24 2017-10-10 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
CN107234495B (zh) * 2017-07-24 2019-01-29 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
CN111055212A (zh) * 2018-10-17 2020-04-24 凯斯科技股份有限公司 化学机械式研磨装置的调节器
CN111055212B (zh) * 2018-10-17 2022-02-08 凯斯科技股份有限公司 化学机械式研磨装置的调节器
CN113710422A (zh) * 2019-03-08 2021-11-26 应用材料公司 使用时间份额控制的化学机械抛光

Also Published As

Publication number Publication date
US7040956B2 (en) 2006-05-09
US6910947B2 (en) 2005-06-28
CN100577361C (zh) 2010-01-06
US20020197934A1 (en) 2002-12-26
KR100904867B1 (ko) 2009-06-26
KR20040010757A (ko) 2004-01-31
WO2002102548A1 (en) 2002-12-27
JP2005509531A (ja) 2005-04-14
US20050208879A1 (en) 2005-09-22
EP1401614A1 (en) 2004-03-31

Similar Documents

Publication Publication Date Title
CN1524027A (zh) 提高垫寿命的化学机械抛光垫调节器方向速度控制
CN1535196A (zh) 化学机械抛光垫的调节的前馈和反馈控制
CN1292464C (zh) 提供去除速率曲线处理的化学机械抛光设备的反馈控制
US6169931B1 (en) Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
US7966087B2 (en) Method, system and medium for controlling manufacture process having multivariate input parameters
CN1602546A (zh) 基于原位传感器的半导体处理工序控制
US6957997B2 (en) Method and system for controlling the chemical mechanical polishing by using a sensor signal of a pad conditioner
CN1225010C (zh) 预测加工形状的方法,加工系统,和半导体器件制造方法
JP7160692B2 (ja) 化学機械研磨自動レシピ生成
US7268000B2 (en) Method and system for controlling the chemical mechanical polishing of substrates by calculating an overpolishing time and/or a polishing time of a final polishing step
JP2002343753A (ja) シミュレーション方法及び装置、加工装置、加工システム、並びに半導体デバイス製造方法
US20040063224A1 (en) Feedback control of a chemical mechanical polishing process for multi-layered films
US20050176348A1 (en) Treatment condition decision method, treatment condition decision system, treatment system, treatment condition decision calculator program, program recording medium, and semiconductor device manufacturing method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100106

Termination date: 20100617