CN1329533A - 带有可装载的罩的换向线性抛光机 - Google Patents

带有可装载的罩的换向线性抛光机 Download PDF

Info

Publication number
CN1329533A
CN1329533A CN99813992A CN99813992A CN1329533A CN 1329533 A CN1329533 A CN 1329533A CN 99813992 A CN99813992 A CN 99813992A CN 99813992 A CN99813992 A CN 99813992A CN 1329533 A CN1329533 A CN 1329533A
Authority
CN
China
Prior art keywords
wafer
pad
polishing
cover
chemical mechanical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99813992A
Other languages
English (en)
Other versions
CN1131765C (zh
Inventor
胡马云·塔利赫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN1329533A publication Critical patent/CN1329533A/zh
Application granted granted Critical
Publication of CN1131765C publication Critical patent/CN1131765C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B47/00Drives or gearings; Equipment therefor
    • B24B47/02Drives or gearings; Equipment therefor for performing a reciprocating movement of carriages or work- tables
    • B24B47/04Drives or gearings; Equipment therefor for performing a reciprocating movement of carriages or work- tables by mechanical gearing only

Abstract

本发明针对应用可沿前面与换向运动的垫(6)来抛光半导体晶片(18)表面的方法与设备(2),在VLSI与ULSI两方面的应用中,特别要求将晶片(18)表面抛光至完善的平度。抛光垫(6)的前向与换向运动给晶片(18)表面提供了优越的平面性与均匀性。此晶片(18)表面在抛光垫(6)作前向与换向运动而对其抛光时压贴到此抛光垫上。在抛光过程中,晶片(18)则由可应用新颖的装卸晶片的方法的晶片罩支承。

Description

带有可装载的罩的换向线性抛光机
本发明涉及化学机械抛光领域。具体地说,本发明涉及用以将半导体晶片抛光至高度平面性与均匀性的方法与设备。这是当半导体晶片处在很高的双向线速度或往复运动的速度下由抛光垫抛光而实现的。
使VLSI(极大规模集成电路)与ULSI(超大规模集成电路)用的半导体晶片的化学机械抛光(CMP),在半导体工业中有着重要和广泛的应用。CMP是使半导体晶片平整化和抛光的工艺,它兼用化学方法与机械摩擦方法来除去半导体的薄层如绝缘体、金属与光刻胶。CMP一般在晶片制造过程中于晶体生长之后用于整平/抛光晶片,而且是一种能给晶片表面提供整体平面化的工艺。例如在晶片制造过程中,CMP常用来整平/抛光于多级金属互联系统中所形成的轮廓。在实现晶片表面所需的平度时一定不得污染此所需的表面。此外,CMP法也必须避免抛光掉功能性电路部件的部分。
现在说明半导体晶片CMP的传统系统。传统的一种CMP法需将晶片定位到绕第一轴线转动的支架上,然后再向下放到沿相反方向绕第二轴线转动的抛光垫上。晶片支架在平面化过程中将晶片压到抛光垫上。通常将抛光剂或淤浆加到抛光垫上来抛光晶片。在另一种传统的CMP法中,晶片支架将晶片定位和压到带形抛光垫上,同时使抛光垫相对晶片连续地沿相同直线方向运动,所谓的带形抛光垫在此抛光过程中可沿一连续路径运动。这类传统的抛光工艺还可包括位于此抛光垫路径中的调节站以在抛光过程中对抛光垫进行调节。为实现所需平度与平面性而应控制的因素包括抛光时间、晶片与垫之间的压力、转速、淤浆粒度、淤浆给料率、淤浆的化学性质以及抛光垫材料。
尽管上述的CMP法已为半导体工业中广泛采用和接受,但仍然存在有问题。例如还存在着预测和控制在此CMP过程中从此衬底上除去物料的速率和均匀性的问题。结果,由于必需时刻监控衬底表面上各层的厚度与均匀性来防止晶片表面的过度抛光或抛光的不均一性,结果使此CMP成为费力与价昂的方法。
为此,需要有用于抛光半导体晶片的廉价和较一致的方法与设备。
本发明的第一个目在于提供抛光具有一致平面性的半导体晶片的方法与设备。
本发明的第二个目的在于提供以具有高双向性的线速度或往复运动速度的垫来抛光半导体晶片的方法与设备。
本发明的第三个目的在于提供能减小抛光站的规模从而减少这种站的空间与费用的方法与设备。
本发明的第四个目的在于提供能消除或减少调节抛光垫的需要的方法与设备。
本发明的第五个目的在于提供能相对于晶片罩高效装卸半导体晶片的方法与设备。
本发明上述的和其他目的是通过提供以具有高的双向线速度的垫来抛光晶片的方法与设备而获得的。概括地说,本发明包括安装于同步皮带机构上的抛光垫,此同步皮带机构允许此垫于高速度下以往复运动的方式即沿向前与换向运动的方式运动。这种抛光垫在其抛光晶片的恒定的前向与换向运动中,就于整个晶片表面上提供了优越的平面性与均一性。本发明的晶片罩也可用来在抛光晶片时牢靠地保持此晶片。
通过下面结合附图对本发明当前最佳的变型实施例的详细说明,当可弄清和更容易地理解本发明上述的和其他的目的与优点,在附图中:
图1是示明本发明的最佳实施例的方法与设备的透视图;
图2是示明本发明的最佳实施例的方法与设备的侧视图;
图3是示明本发明的最佳实施例的用来将抛光垫装附到同步皮带上的方法与设备的正视图;
图4是示明本发明最佳实施例的绕同步皮带辊转动的抛光垫的侧视图;
图5示明本发明最佳实施例的适用于相对其装卸晶片的晶片罩的侧视图;
图6示明本发明最佳实施例的适用于相对其装卸晶片且具有突出销的晶片罩的侧视图;
图7示明本发明最佳实施例的将晶片装载到晶片罩上的侧视图;
图8示明本发明最佳实施例的通过三个销相对于晶片罩装卸晶片的底视图。
下面参考图1~8描述本发明的最佳实施例,在所有各个图中,相同的部件由相同的标号标明,本发明针对可在高的双向线速度或往复运动速度的垫和减少印痕条件下的CMP方法与设备。这种高的双向线性的垫速度优化了平面化效率,而减少了印痕则能降低抛光站的费用。此外,由于抛光垫按双向线性方向运动,这就减少了传统CMP抛光机中普遍存在的亮点效应。由于抛光垫是以双向线性方向运动,这种抛光垫基本上是自调节的。
图1与图2分别示明本发明的最佳实施例的设备的透视图与侧视图。晶片抛光站2包括双向线性的或换向线性的抛光机3与晶片罩4。晶片罩4(此晶片罩如所周知是可以绕其中央轴线转动和向左右移动)能可靠地使晶片18定位而得以抛光晶片表面17。根据本发明的用来相对于晶片罩4装卸晶片18的方法将于后面作更详细的描述。
换向线性抛光机3包括用于抛光晶片表面17的抛光垫6、驱动抛光垫6作双向线性或往复(前向与反向)运动的机械、在垫6抛光晶片表面17时用来支承垫6的支承板10。将含有可氧化或由机械方式除去硅片层的化合物的抛光剂或淤浆注入晶片18与抛光垫6之间。一般采用例如硅胶或煅制的二氧化硅之类的抛光剂或淤浆,这类抛光剂或淤浆通常会在晶片表面17上生成薄层的二氧化硅或其他氧化物,抛光垫6的摩擦作用以机械方式除去了这类氧化物,结果在晶片表面17上除去了那些高的轮廓,直至获得了极平的表面。还应指出,来自用于抛光晶片表面17的抛光剂或淤浆的粒料尺寸最好要比晶片表面17的特殊点尺寸至少大2或3倍。例如晶片表面17的特殊点尺寸为1mm时,则上述粒料的尺寸至少应为2或3mm。
抛光垫6的底侧接附到用于支承它的挠性的但牢靠与平整的材料(未图示)上。抛光垫6一般是刚性聚氨酯材料,但也可采用能抛光晶片表面17的其他适当材料。
根据此最佳实施例的用来按双向线性运动驱动抛光垫6的驱动或传动机构8描述于下。图1~2虽然是从换向线性抛光机的前侧示明了一个驱动机构8,但应认识到在此换向线性抛光机3的后侧也存在一类似的驱动机构8。驱动机构8包括三条同步皮带,其中两条是垂直悬挂的同步皮带14、15,一条是水平悬挂的同步皮带16。同步皮带14、15与16可由任何适当的材料如不锈钢或其强度足以经受晶片18加到这些皮带上的载荷的高强聚合物形成。垂直悬挂同步皮带14、15的一端固定于辊20上而另一端固定于辊22上。同样,水平悬挂的同步皮带16固定到辊20上。如图1所示,应知此水平悬挂皮带16是设在垂直悬挂的同步皮带14、15的Z平面稍外的Z平面中。
辊20由水平悬挂的同步皮带16连接那两个垂直悬挂的同步皮带14、15,使得各个皮带的转速取决于其他皮带的转速。辊20与22将同步皮带14、15与16保持于适当张力之下,使得抛光垫6有足够刚性来均匀地抛光晶片表面17。这几条同步皮带的张力可按需要通过调节辊22相对于辊20的位置作增减。
尽管本发明说明的驱动机构具有安装在四个辊上的三条同步皮带,但应认识到任何适当个数的辊和/或同步皮带,或是不依赖于辊/皮带的驱动机构即杠杆式的机构使其能提供双向线性或往复运动的,都应认为是在本发明的精神范围之内。
本发明的一个重要方面是抛光垫6和相应的支承材料适合于角隅24弯成某个角度,此角度最好约90°。抛光垫6的各端由连接件12、13接附到两条垂直定位的同步皮带14、15的某个部分上。抛光垫6的一端固定到连接件12上而另一端则固定到连接件13之上。连接件12与13最好是套筒与杆件,以后将更全面地对此说明。再来参看图1与2,当抛光垫6的一端借助同步皮带14与连接件12垂直向下行进时,此抛光垫6的另一端便借助同步皮带15与连接件13垂直地向上行进。同步皮带14、15与16同辊20与22的机械校准允许上述运动发生。
为了驱动同步皮带14、15与16至所需速度,采用普通的马达(未图示)来转动辊20和/或22。此马达连接到辊20或22或连接到任何连接着辊20和/或22的适当部件上,同时还给辊20与22提供了使其转动到所需转速而必需的转矩。上述马达直接/间接地导致辊20与22转动,使得同步皮带14、15与16以所需速度沿前向与换向驱动。例如当连接件13在其向下运动中到达辊22时,由于连接件13此时向上运动,它就将改变抛光垫6的运动方向而使其换向运动。片刻之后,此同一连接件13这时便到达辊20,而再次换向为向下方向。连接件13的往复运动允许抛光垫依前向与换向运动。抛光垫6的运动速度最好约为100~600ft/min以使晶片表面17作最优的平面化。但应认识到抛光垫6的速度将取决于许多因素(晶片大小、垫的类型、淤浆的化学组成,等等)。此外,垫6可以按最好平均为100~600ft/min的预定速度沿双向线性方向运动。
图3与4分别示明本发明最佳实施例的用于将抛光垫6接附到同步皮带14、15上的方法与设备的前视图与侧视图。正如以前所述,抛光垫6的底侧是装附到不可拉伸的挠性的但牢靠与平整的材料上。在这种材料的各端,也即在抛光垫6的各端,则接附到杆件40上。杆件40如图3所示从垫6沿水平方向伸出,在各垂直悬挂的同步皮带14、15上还接装一套筒42,即一个圆筒或槽,套筒42的一部分44沿水平延伸与杆件40结合,仍如图3所示。当杆件40与套筒42结合上时,就可允许抛光垫6在高速下作双向运动而不会有抛光垫6卷绕到辊20、22上的问题。图4还示明了此抛光垫6绕辊20、22转动时的侧视图。
如前面所述,抛光垫6在两个角隅24处最好弯成约90°的角。这种处理有种种理由说明它是有益的。根据本发明,抛光垫6在要求抛光晶片表面17的水平面上的长度只需略长于晶片18的直径,此抛光垫的全长最好只应略长于晶片18直径的三倍。这样就能最有效和最经济地利用整个抛光垫6,抛光过程中,可将淤浆或其他试剂加到抛光垫6的不与晶片表面17接触的部分,上述淤浆或其他试剂最好是在拐弯处24附近的位置加到抛光垫上。上述抛光垫构型也减小了需用来支承垫6的支承板10的尺寸。此外,虽然所述双向线性运动提供了基本上能自调节的垫,但在此同一位置上或其附近也可设置一调节件。
上述的新颖工艺还具有许多其他的优点和有利之处。例如本发明的CMP装置与绝大多数传统的CMP装置相比所占用的空间较小,这是因为约2/3的抛光垫6可以取垂直位置。此CMP装置的双向线性运动还改进了垫6的利用效率,这是因为垫6是以不同的而最好是相反的方向运动使得垫6的往复运动提供了自调节功能。
根据本发明,在一个单元时间内一般只抛光一块晶片。如上所述,抛光垫6是以很高的线性速度按双向运动而得以均匀地抛光晶片表面17。由于抛光晶片表面17需要有很高的垫速因而会产生很大的动量,从而有很大的惯性,这样,当抛光垫6换向时,就需有充分的能量来保持垫以所需速度运动。要是能使抛光垫6的总面积(长与宽)最小化,则可相应地减小为保持以垫按所希望速度运动而需的能量。例如通过限制抛光垫6的长度,普通的马达就能提供为保持此垫以所需速度作前向与换向运动所需的能量。抛光垫6的总长度应略长于晶片的两个直径的长度而最好是其三个直径的长度,之所以如此是为了能调节抛光垫6同时可将淤浆左角隅24邻近加到与晶片18所在处相对的此抛光垫的两侧上。
尽管本发明适用于一次抛光一块晶片,但内行的人可以改进本发明的最佳实施例以便一次抛光多块晶片。淤浆(未图示)可按普通的方式加到抛光垫6的表面上,而此抛光垫6也可以再按普通的方式调节。
以下参看图5说明本发明最佳实施例的晶片罩4。晶片罩4包括不导电的最好为圆形的上端组件28,带有一个最好在中心处有几mm深的腔29和一个托垫30。首先将晶片18以其背侧相对托垫30装载到腔29内。采用普通类型的定位机构(即真空机构)来确保晶片18牢靠地相对于晶片上端组件28定位,同时对晶片18进行抛光。托垫30也可以是在其濡湿时通过抽吸晶片18的下侧来固定此晶片的那种托垫类型。
如上所述,此换向抛光机3可以在晶片制造过程的各个阶段来抛光晶片18。因此就有了将晶片18装载到腔29中而不需另设装置机构的方法,下面参看图6对此加以说明。首先校正好晶片罩4以将晶片18装入腔29内。
上端组件28包括的销罩32可用马达或气动控制装置(未图示)相对腔29作上下运动。在装载晶片18时,销罩32从原位下延到晶片18的表面17之下,如虚线所示。然后在马达控制下,用传统的回撤装置自动地促使从销罩32伸出至少三个销,得以拾取晶片18便将它装到上端组件28的腔29内。当销34突出,销罩32便自动回缩至其原位,而将晶片18装入腔29内。在如上述当上端组件28与托垫30使晶片18定位后,销34便自动撤回到销罩32内而销罩则回撤到原位,使晶片18得以抛光,如图7所示。
再次参看图1与2,在晶片18牢靠地装载到晶片罩4上时,晶片罩4便自动下降直至其晶片表面17接触抛光垫6。抛光垫6依这里所述方法抛光晶片表面17;然后从晶片罩4上迅即卸下晶片18。
参看图6,基本上按装料的相反顺序从晶片罩4卸下晶片18。在抛光晶片18后,将晶片罩4从抛光垫6上升离,而销罩32从其原位下延到晶片18表面17之下,如虚线所示,然后自动使销34突出,以使晶片18从腔29中卸下时得到支承。在销34突出时,上述真空装置由反向气流换向,致晶片18从上端组件28坠下到销34上,即晶片18由托垫定位到销34上。从这个位置晶片可送到下一个制造处理站。
图8示明晶片18由销34相对于腔29装卸的底视图。虽然图8示明了三个突出销34,但应知依据本发明可以采用三个以上的销或另外的支承机构。
再行参看图1~2来说明支承抛光垫6的支承板10,板10之上可以磁性膜涂层。抛光垫6所接附上的此支承板10的背面也可用磁性膜涂层,这样就能使抛光垫6在浮离开支承板10的同时以所需的速度运动。应知可以采用其他传统的方法使抛光垫6浮离开支承板10来抛光晶片表面17,如采用空气、润滑剂和/或其他适当的液体。
应知在以上讨论和后附的权利要求书中,“晶片表面”和“晶片的表面”,包括但不限定于晶片在处理前的表面以及在晶片上形成任何料层的表面,包括氧化的、金属的、氧化物的、淀装上的玻璃与陶瓷等料层的表面。
上面出于解释目的讨论了本发明的各种最佳实施例,但内行的人当知,在不背离后附权利要求书所公开的本发明的范围与精神前提下,是可以提供种种改型与增减的。

Claims (18)

1.用于抛光半导体晶片表面的化学机械抛光装置,它包括:适用于支承晶片的晶片罩;以及具有以双向线性运动抛光此晶片表面的垫的抛光站。
2.权利要求1所述的化学机械抛光装置,其中所述双向线性运动是通过交替地使该垫作前向与反向运动而得到的。
3.权利要求2所述的化学机械抛光装置,其中所述垫是以包括具有依单一转动方向的轴的马达的传动机构作前向与换向运动的。
4.权利要求3所述的化学机械抛光装置,其中所述传动机构包括:水平悬挂的同步皮带、适用于固定上述水平悬挂同步皮带的第一组辊、第二组辊,以及连接到该垫各端之上的两条垂直悬挂的同步皮带,每条垂直悬挂的同步皮带是由上述第一组辊中之一与上述第二组辊中之一固定。
5.权利要求3所述的化学机械抛光装置,其中所述传动机构适用来使该垫以约100~600ft/min的速度运动。
6.权利要求1所述的化学机械抛光装置,其中所述晶片罩包括:具有适用于支承晶片的腔的上端组件,以及具有可移动的销罩,而此销罩则包括有可相对于它突入和突出的销。
7.权利要求1所述的化学机械抛光装置,其中所述抛光站还包括当该垫抛光晶片表面时适用来支承该垫的支承板。
8.权利要求1所述的化学机械抛光装置,其中所述垫的长度至少是晶片直径长度的2倍。
9.权利要求1所述的化学机械抛光装置,其中所述垫的长度至少是晶片直径长度的3倍。
10.权利要求1所述的化学机械抛光装置,其中所述垫在水平面中的长度约为一个晶片直径的长度。
11.抛光半导体晶片表面的方法,此方法包括下述步骤:将晶片支承成使其表面暴露向抛光垫,通过使此抛光垫作双向线性运动来抛光此晶片表面。
12.权利要求11所述的抛光半导体晶片表面的方法,其中所述抛光步骤还包括使该抛光垫作前向与反向运动的步骤。
13.权利要求12所述的抛光半导体晶片表面的方法,其中所述的垫是以由具有依单一方向转动的轴的马达产生的双向线性运动的传动机构作前向与反向运动的。
14.权利要求13所述的抛光半导体晶片表面的方法,其中所述传动机构适合以约100~600ft/min的速度来运动该垫的。
15.权利要求11所述的抛光半导体晶片表面的方法,其中所述抛光步骤还包括下述步骤:转动一批辊;使固定于这些辊上的一批同步皮带运动;使所述抛光垫作双向线性运动,而此抛光垫则装附到这批同步皮带的至少两条之上;在抛光垫与晶片表面接触时抛光此表面。
16.权利要求11所述的抛光半导体晶片表面的方法,其中所述支承步骤还包括将晶片固定到晶片罩的腔内的步骤。
17.权利要求11所述的抛光半导体晶片表面的方法,其中所述支承步骤还包括下述步骤:将晶片装载到具有可动销罩和设于此销罩一部分上的可回撤销的晶片罩的腔中;将上述销罩的这部分定位到晶片表面之下;使销从上述销罩的这部分伸出而为可插入其上的晶片提供支承;使销罩移动以让晶片邻近晶片罩的表面;将晶片装载到晶片罩的腔内并脱离开销;将销撤回销罩的部分;从晶片罩的表面清除此销罩。
18.抛光半导体晶片表面的方法,此方法包括下述步骤:应用依预定速度沿第一方向运动的垫对晶片表面作化学机械抛光;应用在上述预定速度下沿与该第一方向相反的第二方向中运动的垫对此晶片表面作化学机械抛光,而此预定速度为100~600ft/min。
CN998139920A 1998-12-01 1999-11-19 带有可装载的罩的换向线性抛光机 Expired - Fee Related CN1131765C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/201,928 US6103628A (en) 1998-12-01 1998-12-01 Reverse linear polisher with loadable housing
US09/201,928 1998-12-01

Publications (2)

Publication Number Publication Date
CN1329533A true CN1329533A (zh) 2002-01-02
CN1131765C CN1131765C (zh) 2003-12-24

Family

ID=22747860

Family Applications (1)

Application Number Title Priority Date Filing Date
CN998139920A Expired - Fee Related CN1131765C (zh) 1998-12-01 1999-11-19 带有可装载的罩的换向线性抛光机

Country Status (10)

Country Link
US (2) US6103628A (zh)
EP (1) EP1135236B1 (zh)
JP (1) JP2002531933A (zh)
KR (1) KR100638798B1 (zh)
CN (1) CN1131765C (zh)
AT (1) ATE280014T1 (zh)
AU (1) AU1629900A (zh)
DE (1) DE69921354T2 (zh)
TW (1) TW425332B (zh)
WO (1) WO2000032356A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1934208B (zh) * 2004-03-23 2011-08-10 卡伯特微电子公司 具有成分填充孔的多孔化学机械抛光垫
CN111955945A (zh) * 2020-08-27 2020-11-20 代永金 一种中医药柜的驱动装置
CN116833900A (zh) * 2023-07-31 2023-10-03 广东工业大学 一种用于半导体晶片化学机械抛光的磁流变弹性抛光垫、制备方法及其应用

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7531079B1 (en) 1998-10-26 2009-05-12 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene IC structures by selective agitation
US7449098B1 (en) 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
US6468139B1 (en) * 1998-12-01 2002-10-22 Nutool, Inc. Polishing apparatus and method with a refreshing polishing belt and loadable housing
US6589105B2 (en) 1998-12-01 2003-07-08 Nutool, Inc. Pad tensioning method and system in a bi-directional linear polisher
US7425250B2 (en) * 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US6464571B2 (en) * 1998-12-01 2002-10-15 Nutool, Inc. Polishing apparatus and method with belt drive system adapted to extend the lifetime of a refreshing polishing belt provided therein
US6475070B1 (en) 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6244935B1 (en) * 1999-02-04 2001-06-12 Applied Materials, Inc. Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet
US6491570B1 (en) * 1999-02-25 2002-12-10 Applied Materials, Inc. Polishing media stabilizer
US6626744B1 (en) 1999-12-17 2003-09-30 Applied Materials, Inc. Planarization system with multiple polishing pads
US7048607B1 (en) 2000-05-31 2006-05-23 Applied Materials System and method for chemical mechanical planarization
US6520833B1 (en) 2000-06-30 2003-02-18 Lam Research Corporation Oscillating fixed abrasive CMP system and methods for implementing the same
US6561884B1 (en) * 2000-08-29 2003-05-13 Applied Materials, Inc. Web lift system for chemical mechanical planarization
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7074113B1 (en) 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7192335B2 (en) * 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7112121B2 (en) 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7153195B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7153410B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US6867448B1 (en) 2000-08-31 2005-03-15 Micron Technology, Inc. Electro-mechanically polished structure
US6592439B1 (en) 2000-11-10 2003-07-15 Applied Materials, Inc. Platen for retaining polishing material
US6607425B1 (en) 2000-12-21 2003-08-19 Lam Research Corporation Pressurized membrane platen design for improving performance in CMP applications
US6776695B2 (en) * 2000-12-21 2004-08-17 Lam Research Corporation Platen design for improving edge performance in CMP applications
CN1638919A (zh) * 2001-06-12 2005-07-13 纳托尔公司 用于双向抛光工件的改进的方法和设备
US6503131B1 (en) 2001-08-16 2003-01-07 Applied Materials, Inc. Integrated platen assembly for a chemical mechanical planarization system
US7121919B2 (en) * 2001-08-30 2006-10-17 Micron Technology, Inc. Chemical mechanical polishing system and process
US6838149B2 (en) * 2001-12-13 2005-01-04 3M Innovative Properties Company Abrasive article for the deposition and polishing of a conductive material
US6776693B2 (en) 2001-12-19 2004-08-17 Applied Materials Inc. Method and apparatus for face-up substrate polishing
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US20030146102A1 (en) * 2002-02-05 2003-08-07 Applied Materials, Inc. Method for forming copper interconnects
CN1653600A (zh) * 2002-03-13 2005-08-10 Asm努突尔股份有限公司 铜和阻障层之整合化学机械抛光的方法和设备
US6939203B2 (en) 2002-04-18 2005-09-06 Asm Nutool, Inc. Fluid bearing slide assembly for workpiece polishing
US7799200B1 (en) 2002-07-29 2010-09-21 Novellus Systems, Inc. Selective electrochemical accelerator removal
US7138039B2 (en) * 2003-01-21 2006-11-21 Applied Materials, Inc. Liquid isolation of contact rings
US7087144B2 (en) * 2003-01-31 2006-08-08 Applied Materials, Inc. Contact ring with embedded flexible contacts
US7025861B2 (en) * 2003-02-06 2006-04-11 Applied Materials Contact plating apparatus
US7018273B1 (en) 2003-06-27 2006-03-28 Lam Research Corporation Platen with diaphragm and method for optimizing wafer polishing
US20050016861A1 (en) * 2003-07-24 2005-01-27 Thomas Laursen Method for planarizing a work piece
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US8530359B2 (en) 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US7648622B2 (en) 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
US6955588B1 (en) 2004-03-31 2005-10-18 Lam Research Corporation Method of and platen for controlling removal rate characteristics in chemical mechanical planarization
US20050218000A1 (en) * 2004-04-06 2005-10-06 Applied Materials, Inc. Conditioning of contact leads for metal plating systems
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7566391B2 (en) * 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20070014958A1 (en) * 2005-07-08 2007-01-18 Chaplin Ernest R Hanger labels, label assemblies and methods for forming the same
US8168540B1 (en) 2009-12-29 2012-05-01 Novellus Systems, Inc. Methods and apparatus for depositing copper on tungsten
JP2017148931A (ja) * 2016-02-19 2017-08-31 株式会社荏原製作所 研磨装置および研磨方法
KR102339948B1 (ko) * 2019-07-02 2021-12-17 (주)미래컴퍼니 연마 시스템 및 연마 방법

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3113204A1 (de) * 1981-04-02 1982-10-28 Percy 3008 Garbsen Lambelet "vorrichtung zum schleifen, schmirgeln oder polieren von werkstuecken"
DE3643914A1 (de) * 1986-12-22 1988-06-30 Zeiss Carl Fa Verfahren und vorrichtung zum laeppen bzw. polieren optischer flaechen
EP0517594B1 (fr) * 1991-06-06 1995-12-13 Commissariat A L'energie Atomique Machine de polissage à bande microabrasive tendue et à tête support de plaquette perfectionnée
US5245796A (en) * 1992-04-02 1993-09-21 At&T Bell Laboratories Slurry polisher using ultrasonic agitation
JP2894153B2 (ja) * 1993-05-27 1999-05-24 信越半導体株式会社 シリコンウエーハの製造方法、およびその装置
US5650039A (en) * 1994-03-02 1997-07-22 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved slurry distribution
EP0696495B1 (en) * 1994-08-09 1999-10-27 Ontrak Systems, Inc. Linear polisher and method for semiconductor wafer planarization
US5593344A (en) * 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
US5908530A (en) 1995-05-18 1999-06-01 Obsidian, Inc. Apparatus for chemical mechanical polishing
US5762751A (en) * 1995-08-17 1998-06-09 Semitool, Inc. Semiconductor processor with wafer face protection
US5951373A (en) * 1995-10-27 1999-09-14 Applied Materials, Inc. Circumferentially oscillating carousel apparatus for sequentially processing substrates for polishing and cleaning
US5961372A (en) 1995-12-05 1999-10-05 Applied Materials, Inc. Substrate belt polisher
JP2830907B2 (ja) 1995-12-06 1998-12-02 日本電気株式会社 半導体基板研磨装置
US5770521A (en) * 1996-05-30 1998-06-23 Cypress Semiconductor Corporation Anti-shear method and system for semiconductor wafer removal
JPH09321001A (ja) * 1996-05-31 1997-12-12 Komatsu Electron Metals Co Ltd 半導体ウェハの研磨方法
US5899801A (en) * 1996-10-31 1999-05-04 Applied Materials, Inc. Method and apparatus for removing a substrate from a polishing pad in a chemical mechanical polishing system
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US6110025A (en) 1997-05-07 2000-08-29 Obsidian, Inc. Containment ring for substrate carrier apparatus
US5899798A (en) 1997-07-25 1999-05-04 Obsidian Inc. Low profile, low hysteresis force feedback gimbal system for chemical mechanical polishing
US6113479A (en) 1997-07-25 2000-09-05 Obsidian, Inc. Wafer carrier for chemical mechanical planarization polishing
US6196896B1 (en) 1997-10-31 2001-03-06 Obsidian, Inc. Chemical mechanical polisher

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1934208B (zh) * 2004-03-23 2011-08-10 卡伯特微电子公司 具有成分填充孔的多孔化学机械抛光垫
CN111955945A (zh) * 2020-08-27 2020-11-20 代永金 一种中医药柜的驱动装置
CN116833900A (zh) * 2023-07-31 2023-10-03 广东工业大学 一种用于半导体晶片化学机械抛光的磁流变弹性抛光垫、制备方法及其应用
CN116833900B (zh) * 2023-07-31 2024-01-26 广东工业大学 一种用于半导体晶片化学机械抛光的磁流变弹性抛光垫、制备方法及其应用

Also Published As

Publication number Publication date
DE69921354T2 (de) 2005-10-13
US6103628A (en) 2000-08-15
AU1629900A (en) 2000-06-19
KR20010089531A (ko) 2001-10-06
EP1135236B1 (en) 2004-10-20
US6207572B1 (en) 2001-03-27
DE69921354D1 (de) 2004-11-25
KR100638798B1 (ko) 2006-10-25
JP2002531933A (ja) 2002-09-24
EP1135236A1 (en) 2001-09-26
ATE280014T1 (de) 2004-11-15
TW425332B (en) 2001-03-11
CN1131765C (zh) 2003-12-24
WO2000032356A1 (en) 2000-06-08

Similar Documents

Publication Publication Date Title
CN1131765C (zh) 带有可装载的罩的换向线性抛光机
US6146249A (en) Apparatus and method for polishing a flat surface using a belted polishing pad
US4934102A (en) System for mechanical planarization
US6503134B2 (en) Carrier head for a chemical mechanical polishing apparatus
US7101255B2 (en) Polishing apparatus
US7138072B2 (en) Methods and apparatuses for planarizing microelectronic substrate assemblies
US6464571B2 (en) Polishing apparatus and method with belt drive system adapted to extend the lifetime of a refreshing polishing belt provided therein
US9352441B2 (en) Chemical mechanical polisher with hub arms mounted
CN101990703A (zh) 高产量化学机械抛光系统
US6468139B1 (en) Polishing apparatus and method with a refreshing polishing belt and loadable housing
US6343975B1 (en) Chemical-mechanical polishing apparatus with circular motion pads
US8137162B2 (en) Semiconductor wafer polishing machine
TW380084B (en) Modular wafer polishing apparatus and method
US6506099B1 (en) Driving a carrier head in a wafer polishing system
CN1303654C (zh) 抛光方法和设备
KR100356755B1 (ko) 씨엠피장치
CN1411038A (zh) 抛光方法和设备

Legal Events

Date Code Title Description
C06 Publication
C10 Entry into substantive examination
PB01 Publication
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: ASM NUTOOL INC.

Free format text: FORMER NAME OR ADDRESS: NUTOOL INC.

CP03 Change of name, title or address

Address after: American California

Patentee after: ASM Nutool Inc.

Address before: American California

Patentee before: Nutool, Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20031224

Termination date: 20141119

EXPY Termination of patent right or utility model