CN1329467C - 用于铜膜平面化的钝化化学机械抛光组合物 - Google Patents

用于铜膜平面化的钝化化学机械抛光组合物 Download PDF

Info

Publication number
CN1329467C
CN1329467C CNB2003801085064A CN200380108506A CN1329467C CN 1329467 C CN1329467 C CN 1329467C CN B2003801085064 A CNB2003801085064 A CN B2003801085064A CN 200380108506 A CN200380108506 A CN 200380108506A CN 1329467 C CN1329467 C CN 1329467C
Authority
CN
China
Prior art keywords
chemical
mechanical polishing
polishing compositions
acid
abrasive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB2003801085064A
Other languages
English (en)
Other versions
CN1735671A (zh
Inventor
刘俊
彼得·弗热施卡
大卫·伯恩哈德
麦肯齐·金
迈克尔·达西罗
卡尔·博格斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN1735671A publication Critical patent/CN1735671A/zh
Application granted granted Critical
Publication of CN1329467C publication Critical patent/CN1329467C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • C23F11/14Nitrogen-containing compounds
    • C23F11/141Amines; Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

本发明公开了一种CMP组合物,其含有,例如,与氧化剂,螯合剂,研磨剂和溶剂组合的5-氨基四唑。这种CMP组合物有利地缺乏BTA,并对抛光半导体基材上铜元件的表面是有用的,而不会在抛光的铜中出现下陷或其他不利的平面化缺陷,甚至在CMP加工过程中,在铜/CMP组合物界面,本体CMP组合物有显著水平的铜离子,例如Cu2+存在下。

Description

用于铜膜平面化的钝化化学机械抛光组合物
发明领域
本发明涉及化学机械抛光组合物,以及涉及利用该组合物对其上具有铜的半导体基材,例如,铜互连(interconnect),电极,或镀金属,作为晶片基材上的部分半导体装置结构,进行抛光的方法。
相关技术描述
铜广泛用于半导体制作中作为晶片基材上半导体装置结构组件的构建材料(例如,接点,电极,导电转接(conductive vias),场发射器基极层等),并且由于其相对于铝及其合金,具有更高的导电性和增加的电迁移阻抗,快速变成在半导体制作中选择的互连金属。
通常,在半导体制作中利用铜的工艺方案包括波形花纹步骤,其中特征被蚀刻在介电物质中。在双波形花纹工艺中,单步用于形成插销和端线。由于铜具有扩散至介电材料的倾向,导致金属端线之间的渗透,所以屏障层,诸如由多种不同的沉积方法沉积的Ta或TaN,经常用于密封铜互连。在沉积屏障层材料之后,铜的薄种子层经由物理蒸汽沉积沉积在屏障材料上,接着电沉积铜以填充部件。然后,沉积的铜可被平面化以赋予其合适的形式,从而在制作半导体成品中适应随后的加工步骤,以及为了令人满意地在存在沉积的铜的微电路中操作。平面化通常包括利用为此目的配制的CMP组合物进行化学机械抛光(CMP)。
由于铜与(Ta或TaN)屏障层间化学反应性中的差异,两种化学截然不同的浆液通常用于铜CMP工艺中。第一步浆液(步骤I)用于快速使形貌(topography)平面化,并且均匀地去除剩余铜,抛光在屏障层上中止。第二步浆液(步骤II)以高去除速率去除屏障层材料,并且在介电氧化物层上停止,或在已涂敷以保护氧化物的帽层上停止。
步骤I平面化及铜的抛光用的化学机械抛光(CMP)组合物通常采用含有一种或多种溶剂,例如水,有机溶剂等的溶剂介质的浆液形式,所述浆液含有合适类型研磨剂,例如,选自硅石,氧化铝,以及其他氧化物和矿物材料的研磨剂。
一种类型的使铜表面平面化的CMP组合物包括研磨剂颗粒的水性浆液,含有过氧化氢作为氧化组分和甘氨酸作为螯合剂。已发现甘氨酸与Cu金属氧化形成的Cu+2离子溶液相反应,以形成Cu2+-甘氨酸络合物。通过形成水溶性Cu2+-甘氨酸螯合物使Cu+2离子络合有助于经由直接溶解机制而去除突出区域中的Cu,以及Cu2+-甘氨酸络合物分解过氧化氢从而生成比过氧化氢本身氧化潜力更高的羟基自由基。
在步骤I含有研磨剂颗粒,过氧化氢和甘氨酸的CMP浆液中,化合物苯并三唑(BTA)通常用作腐蚀抑制剂。BTA(
Figure C20038010850600131
FW:119.13)与铜络合,在铜表面上形成不溶性Cu-BTA络合物。所得不溶性保护性膜利于在制装置结构的形貌的平面化,这是因为晶片表面上的凹陷区被免于溶解,同时研磨剂在突出区上的机械作用能够实施材料去除和抛光。此外,Cu-BTA络合物使腐蚀最小化,并且为目的用途保存铜装置结构的功能完整性。
在缺乏由Cu2+-甘氨酸诱导的催化性分解过氧化氢生成的OH基的情况下,BTA作为铜腐蚀抑制剂作用良好。然而,在第一步含有过氧化氢和甘氨酸的铜CMP浆液中,由于铜金属容易在这样的CMP环境中氧化,在动态CMP条件下形成高度氧化OH基不可避免。
向H2O2/甘氨酸/BTA系统中加入Cu2+的试验已显示,Cu2+的存在显著增加Cu的静态蚀刻速率,同时,Cu腐蚀能力转变成较不易腐蚀的范围内。
该发现的意义在于,BTA在CMP加工过程中,不能有效在保护铜晶片表面的低部件,因此当Cu2+阳离子存在于CMP组合物中时,允许“凹陷”发生在高密度的压花区中。
由此,在CMP组合物中,使用BTA作为腐蚀抑制剂的替代品是高度理想的。具体而言,替代的腐蚀抑制剂是期望的,其与基于H2O2/甘氨酸的CMP组合物相容,并且当在CMP加工过程中有显著量的Cu离子存在于本体溶液和/或靠近金属/溶液界面时,能有效钝化铜表面。
发明概述
本发明涉及含有5-氨基四唑(ATA,
Figure C20038010850600141
,FW:85.06)的CMP组合物,和涉及利用此组合物的铜CMP。
一方面,本发明涉及用于铜膜平面化的CMP组合物,其中所述组合物包括氧化剂,螯合剂,以及腐蚀抑制剂,并且腐蚀抑制剂包括5-氨基四唑。
在进一步方面,本发明涉及用于铜膜平面化的CMP组合物。所述组合物包括含有研磨剂,溶剂,ATA,H2O2和甘氨酸的水性浆液介质。在所述组合物中,ATA,H2O2和甘氨酸的重量浓度基于组合物的总重如下:
ATA 0.01-10wt.%
H2O2 1-30wt.%
甘氨酸 0.1-25wt.%。
本发明的另一方面涉及一种CMP组合物,其以重量百分比基于组合物总重包括下列组分:
ATA 0.01-10wt.%
H2O2 1-30wt.%
甘氨酸 0.1-25wt.%
研磨剂 0-30wt.%
水 30-90wt.%
所有组分在组合物中的总wt.%共计100wt.%。
本发明的另一方面涉及在其上具有铜的基材上抛光铜的方法,包括在CMP条件下,将基材上的铜与有效抛光铜的CMP组合物接触,其中CMP组合物包括ATA。
本发明的其他方面,特征和实施方案从随后的公开内容和随附的权利要求书中会更显而易见。
附图简述
图1示出铜金属的静态蚀刻速率,以/min表示,作为添加的硫酸铜(CuSO4·5H2O)浓度的函数,在pH3.5的H2O2/甘氨酸/Cu2+系统中,对(i)5%H2O2和1%甘氨酸,(ii)5%H2O2,1%甘氨酸,和0.15%BTA,(iii)5%H2O2,1%甘氨酸,和0.15%5-氨基四唑一水合物,以及(iv)5%H2O2,1%甘氨酸,和0.15%1-羟基苯并三唑。
图2示出铜金属的去除率,以/min表示,作为基于CMP浆液组合物总重的ATA浓度(wt%)的函数。
发明详述及其优选实施方案
本发明基于下列发现:5-氨基四唑(ATA,
Figure C20038010850600151
FW:85.06)在铜膜平面化的CMP组合物中替代BTA用作腐蚀抑制剂的效果是预料之外的。ATA与含有过氧化氢作为氧化剂以及甘氨酸作为螯合剂的CMP组合物是相容的。含ATA的CMP组合物对铜表面实现了活性钝化,甚至当显著量的铜离子,例如,Cu2+阳离子,在CMP加工过程中存在于本体溶液和/或金属/溶液界面的情况下。
本发明的含ATA的CMP组合物以其广泛的预期,可采用任何合适的成分配制,包括任何合适的氧化剂,螯合剂,以及腐蚀抑制剂,研磨介质,溶剂介质,以及任选的任何合适的添加剂,佐剂,赋形剂等,诸如稳定剂,酸,碱(如,胺),表面活性剂,缓冲剂等。
广泛实施本发明中所用的氧化剂可以任何合适的类型,包括,例如,硝酸铁,草酸铁铵,柠檬酸铁铵,高锰酸盐(如,高锰酸钾),过氧酸(如,过乙酸),过硼酸盐(如,过硼酸钾),过氧化氢脲,碘酸盐(如,碘酸钾),高氯酸盐(如,高氯酸四甲基铵),过硫酸盐,溴酸盐,苯醌,氯酸盐,亚氯酸盐,次氯酸盐,次碘酸盐,溴氧化盐,过碳酸盐,高碘酸盐,铈盐(如,硫酸高铈铵),铬酸盐化合物和重铬酸盐化合物,氰铜酸盐和氰铁化物盐,铁菲咯啉(ferriphenanthroline),吡啶铁(ferripyridine)和铁铈齐(ferrocinium)。优选的氧化剂包括过乙酸,过氧化氢脲,二-t-丁基过氧化物,苄基过氧化物,过氧化氢和包括两种或多种上述氧化剂的相容混合物。
本发明CMP组合物中的螯合剂可以属于任何合适的类型,包括,例如:甘氨酸,丝氨酸,脯氨酸,亮氨酸,丙氨酸,天冬酰胺,天冬氨酸,谷酰胺,缬氨酸,赖氨酸等,多胺络合物及其盐,包括乙二胺四乙酸,N-羟乙基乙二胺三乙酸,氨三乙酸,亚氨基二乙酸,二乙三胺五乙酸,以及乙醇二氨基乙酸;聚羧酸,包括邻苯二甲酸,草酸,苹果酸,琥珀酸,扁桃酸,和苯六酸;以及包括两种或多种上述化合物的相容混合物。优选的螯合剂包括氨基酸,又以甘氨酸为最优选。
ATA以任何合适的浓度用于本发明的CMP组合物中。ATA在特定配方中的合适浓度基于本文公开内容,可由本领域专业人员凭经验容易确定,从而提供即使在含有高水平的铜阳离子的CMP环境中仍具有合适的铜表面钝化特征的CMP组合物。在本发明一个优选实施方案中,CMP组合物中ATA的量基于CMP组合物的总重为约0.01至约10%重量,优选为约0.05至约5%重量,更优选为约0.10至约1.0%重量,以及最优选为约0.2-0.8%重量,尽管在本发明的广泛范围内,更高或更低的百分比可有益于具体应用。
本发明CMP组合物中的腐蚀抑制剂组分包括ATA,并且在本发明的具体实施方案中,还可包括其他腐蚀抑制剂组分与ATA组合。其他这种腐蚀抑制剂组分属于任何合适的类型,例如包括,咪唑,苯并三唑,苯并咪唑,氨基,亚氨基,羧基,巯基,硝基,烷基,脲和硫脲化合物和衍生物等。优选的抑制剂包括四唑及其衍生物,并且本发明预期提供单独的ATA,或与其他四唑(或其他腐蚀抑制剂)组合作为本发明组合物中的腐蚀抑制剂。
研磨剂可属于任何合适的类型,包括但不限于,金属氧化物,硅氮化物,碳化物等。具体的例子包括合适形式,如细粒,颗粒,粒子或其他分开形式的硅石,氧化铝,碳化硅,氮化硅,氧化铁,二氧化铈,氧化锆,氧化锡,二氧化钛,以及两种或多种上述组分的混合物。或者,研磨剂包括形成自两种或多种材料的复合颗粒,例如,NYACOL氧化铝-包被的胶体硅石(Nyacol Nano Teclmologies,Inc.,Ashland,MA)。氧化铝为优选的无机研磨剂,并可用于勃姆石或过渡δ,θ和γ相氧化铝的形式。有机聚合物颗粒,例如,包括热固性和/或热塑性树脂,可用作研磨剂。广泛实施本发明中有用的树脂包括环氧树脂,聚氨酯橡胶,聚酯,聚酰胺,聚碳酸酯,聚烯烃,聚氯乙烯,聚苯乙烯,聚烯烃和(甲基)丙烯酸树脂。两种或多种有机聚合物颗粒的混合物可用作研磨介质,以及包含无机和有机组分的颗粒。
碱在本发明组合物中可任选用于pH调节。例证性碱以实例方式包括,氢氧化钾,氢氧化铵,以及四甲基氢氧化铵(TMAH),四乙基氢氧化铵,三甲基羟乙基氢氧化铵,甲基三(羟乙基)氢氧化铵,四甲基三(羟乙基)氢氧化铵,四(羟乙基)氢氧化铵,以及苄基三甲基氢氧化铵。
酸在本发明组合物中可任选用于调节pH。所用酸属于任何合适的类型,以实例形式包括,甲酸,乙酸,丙酸,丁酸,戊酸,异戊酸,己酸,庚酸,辛酸,壬酸,乳酸,盐酸,硝酸,磷酸,硫酸,氢氟酸,苹果酸,富马酸,丙二酸,戊二酸,乙醇酸,水杨酸,1,2,3-苯三羧酸,酒石酸,葡糖酸,柠檬酸,邻苯二甲酸,焦儿茶酸(pyrocatechoic acid),焦棓酚羧酸,没食子酸,丹宁酸,以及包括两种或多种上述或其他类型化合物的混合物。
胺存在时,可属于任何合适的类型,以实例方式包括,羟胺,单乙醇胺,二乙醇胺,三乙醇胺,二乙二醇胺,N-羟乙基哌嗪,N-甲基乙醇胺,N,N-二甲基乙醇胺,N-乙基乙醇胺,N,N-二乙基乙醇胺,丙醇胺,N,N-二甲基丙醇胺,N-乙基丙醇胺,N,N-二乙基丙醇胺,4-(2-羟乙基)吗啉,氨基乙基哌嗪,以及包括两种或多种上述或其他胺的混合物。
表面活性剂当任选用于本发明组合物中时,可属于任何合适类型,包括非离子,阴离子,阳离子,以及两性表面活性剂,以及聚电解质,例如,包括:有机酸的盐,烷烃硫酸盐(如,十二烷基硫酸钠);烷烃磺酸盐;取代的胺盐(如,十六烷基匹力定溴化物(cetylpyridiumbromide));甜菜碱;聚环氧乙烷;聚乙烯醇;聚乙酸乙烯酯;聚丙烯酸;聚乙烯吡咯烷酮;聚乙烯亚胺;以及无水山梨醇的酯,诸如以商标Tween和Span可商购的那些酯,以及包括两种或多种上述或其他表面活性剂的混合物。
本发明CMP组合物的pH可为对所用的具体抛光操作有效的任何合适的值。在一个实施方案中,CMP组合物的pH为约2至约11,更优选约2至约7.0,以及最优选约3至约6。
本发明CMP组合物中所用的溶剂为单组分溶剂或多组分溶剂,这取决于具体应用。在本发明一个实施方案中,CMP组合物中的溶剂为水。在另一实施方案中,溶剂包括有机溶剂,如,甲醇,乙醇,丙醇,丁醇,乙二醇,丙二醇,甘油等。在另一实施方案中,溶剂包括水-醇溶液。广泛种类的溶剂和特异溶剂介质可用于本发明的一般实施,得到溶剂化/悬浮介质,其中分散有研磨剂以及掺入其他组分,从而提供合适特征,例如浆液形式的组合物,用于施加至CMP部件的台板,以在晶片基材上对铜抛光至所需的水平。
在一个实施方案中,本发明提供对其上具有铜的基材,例如,铜互连,镀金属,装置结构元件等进行化学机械抛光有用的CMP组合物,其中组合物包括过氧化氢,甘氨酸,ATA,研磨剂和溶剂。
在另一实施方案中,本发明CMP组合物为水性浆液组合物,并且包括水性介质,研磨剂,ATA,H2O2和甘氨酸,其中ATA,H2O2和甘氨酸的重量组成基于组合物的总重如下:
ATA 0.01-10wt.%
H2O2 1-30wt.%
甘氨酸 0.1-25wt.%。
在进一步具体的例证性实施方案中,CMP组合物以重量百分比基于组合物的总重包括下列组分:
ATA 0.01-10wt.%
H2O2 1-30wt.%
甘氨酸 0.1-25wt.%
研磨剂 0-30wt.%
水 30-90wt.%
所有组分在组合物中的总wt.%共计100wt.%。
本发明的CMP组合物容易地配制在所谓的“常用罐”或“储罐”中,或者CMP组合物可以使用时混合的两部分配方或多部分配方的形式提供。多部分配方相对于单一包装的配方的优势在于其延长的保质期。由于在单个包装CMP组合物中存在氧化剂,单个包装配方与多部分配方相比更易分解,从而其属性随时间发生变化。多部分配方的个别部分可在抛光台,抛光带等,或在合适容器中到达抛光台前不久加以混合。
在一个实施方案中,CMP组合物的单个成分各自单独地递送至抛光台,以在台上与配方的其他成分组合而构成CMP组合物备用。在另一实施方案中,CMP组合物配制成两部分,其中第一部分包括研磨剂和腐蚀抑制剂的水性介质,而第二部分包括氧化剂和螯合剂。在另一实施方案中,CMP组合物配制成两部分,其中第一部分包括除氧化剂外的所有组合物的组分,而第二部分包括氧化剂。在所有这些不同的实施方案中,成分或部分混合成最终组合物在使用时才发生,在抛光台,抛光带等,或在合适容器中到达抛光台前不久加以混合。
本发明的铜CMP组合物通过施加CMP组合物至晶片基材上的铜表面而以常规方式用于CMP操作中,以及利用常规抛光元件,诸如抛光垫,抛光带等对铜表面实施抛光。
本发明的CMP组合物有利地用于对半导体基材上的铜元件表面抛光,但在抛光的铜上不会出现凹陷或其他不利的平面化缺陷,甚至当显著量的铜离子,例如,Cu2+阳离子,在CMP加工过程中存在于本体CMP浆液组合物和/或铜/CMP浆液界面的情况下。
本发明的CMP浆液组合物对半导体晶片基材上的铜进行抛光,例如,对压花铜晶片进行抛光是高度有效的。本发明CMP组合物的制备方法是简单地以所需单个包装或多部分配方的方式混合成分,与本文对单个包装和多部分配方的上述讨论一致。各成分的浓度在实施本发明时随具体的CMP组合物配方而广泛变化,并且应理解的是,本发明CMP组合物不同和替代地包括,与本文公开内容一致的成分的任何组合,由其组成,或基本上由其组成。
本发明的特征和优势由下文所述的经验例和结果更充分显示。
实施例1
在CMP浆液组合物的比较测试中,Cu腐蚀速率由电化学方法确定,并且以Cu2+浓度的函数示于图1,其中对多种不同的腐蚀抑制剂进行了测试。更具体而言,图1示出铜金属的静态蚀刻速率,以/min表示,作为添加的硫酸铜(CuSO4·5H2O)浓度的函数,在pH3.5的H2O2/甘氨酸/Cu2+系统中,采用含有下列成分的各自配方:配方(i)5%H2O2和1%甘氨酸,配方(ii)5%H2O2,1%甘氨酸,和0.15%BTA,配方(iii)5%H2O2,1%甘氨酸,和0.15%5-氨基四唑一水合物,以及配方(iv)5%H2O2,1%甘氨酸,和0.15%1-羟基苯并三唑。
图1结果表明,当五水硫酸铜(CuSO4·5H2O)加入配方(i),(ii)和(iv)中时,随着Cu2+浓度增加,腐蚀速率显著增加。相反,与含有BTA和羟基BTA的配方比较,ATA在配方(iii)中钝化效果几乎独立于Cu2+浓度变化。由此,ATA在铜CMP浆液组合物中比BTA提供了预料之外的实质性改进,结果证明了ATA作为腐蚀抑制剂的效用,其使得铜在微电子基材上实现了稳定、一致的抛光。
实施例2
对含有ATA溶液的腐蚀能力进行了测量,当采用Ag/AgCl的饱和KCl参比电极时,在0.28-0.35伏特的范围内确定为恒定。这些结果显示,铜在H2O2/甘氨酸/ATA组合物比在H2O2/甘氨酸组合物中热力学更稳定,这是因为后一组合物没有ATA,当采用Ag/AgCl的饱和KCl参比电极测量时,铜的腐蚀能力为0.17伏特。
实施例3
为了评估本发明含ATA抑制剂的CMP浆液,制备不同ATA浓度的浆液组合物。第一部分CMP配方以浆液线1输送,而第二部分CMP配方以浆液线2输送。然后在CMP装置的台板上混合各部分从而生成CMP组合物。
浆液线1中的第一部分CMP配方含有2%Nanotek氧化铝(商购自Nanophase Technologies Corporation,Romeoville,Illinois)的水性介质,pH3.5。该第一部分CMP配方以125ml/min的流速输送至台板。浆液线2中的第二部分CMP配方含有10%过氧化氢、2%甘氨酸和ATA的去离子水作为溶剂,pH3.5。该第二部分CMP配方以125ml/min流速输送至台板。ATA在第二部分配方的浓度为最终浆液所需ATA浓度的两倍,这是因为终了浆液是将来自浆液线1和浆液线2的流在台板上混合而产生的。
因此,通过混合来自浆液线1和2的流而产生的终了CMP组合物的最终组成为:5%过氧化氢,1%甘氨酸,1%Nanotek氧化铝研磨剂,以及ATA,pH3.5。
利用不同浓度ATA的各浆液组合物,对带有膜层的铜包被的硅晶片进行抛光,所述膜层含有c-Si块(bulk)/5,000TEOS SiO2/250Ta衬垫/1,000PVD Cu籽/15,000ECD Cu,并利用4-点探测器,测量从使用各个相应浆液组合物包被的晶片中铜的去除率。结果示于图2中。
图2示出铜金属的去除率,以/min表示,作为基于CMP浆液组合物总重的ATA浓度(wt%)的函数。结果表明,在ATA浓度为0.15wt.%至0.45wt.%时,铜的去除率为约2200/min至约4500/min,铜去除率一般以线性方式随ATA浓度减少。
实施例4
利用Strasbaugh 6EC抛光工具(可商购自Strasbaugh Corporation,San Luis Obispo,California)对10微米铜线的凹陷进行了研究。抛光垫组件包括IC1000抛光垫和Suba IV副垫(subpad)(可商购自RodelCorporation,Newark,Delaware)。抛光条件包括4psi向下力,工作台和90rpm的载速(carrier speed),以及250ml/min的浆液流速。Sematech(Austin,TX)854压花晶片用于研究独立的铜线和阵列(50%压花密度)铜线的凹陷。
对两种水性浆液铜CMP配方进行测试。配方1含有0.2%BTA,1%氧化铝研磨剂,5%过氧化氢和1%甘氨酸,pH3.5。配方2含有0.4%ATA,2%甘氨酸,5%过氧化氢和1%氧化铝研磨剂。结果表明,当BTA用作腐蚀抑制剂(配方1)时,终点处(所有铜金属膜皆从晶片上去除)在独立线和阵列线(array line)的凹陷之间有显著的不同。当ATA取代BTA作为腐蚀抑制剂(配方2)时,CMP抛光操作的终点处,独立和阵列10微米线的凹陷程度相同,并且总体凹陷程度基本上降低。
尽管参照本发明的特定方面,特征和例证性实施方案,本发明已进行了描述,但应理解的是,本发明的效用并不限于此,而是延伸并包括其他众多变体,修改和替代的实施方案,以及基于在此公开的内容还给本领域技术人员以提示。因此,本发明旨在要求宽泛的解释,正如在其实质和范围内包括所有这样的变体,修改和替代。

Claims (76)

1.化学机械抛光组合物,包括5-氨基四唑和螯合剂,其中螯合剂包括至少一种选自甘氨酸,丝氨酸,脯氨酸,亮氨酸,丙氨酸,天冬酰胺,天冬氨酸,谷氨酰胺,缬氨酸和赖氨酸的氨基酸。
2.权利要求1的化学机械抛光组合物,进一步包括氧化剂。
3.权利要求2的化学机械抛光组合物,其中氧化剂包括至少一种选自下列的试剂:硝酸铁,草酸铁铵,柠檬酸铁铵,高锰酸盐,过氧酸,过硼酸盐,过氧化氢脲,碘酸盐,高氯酸盐,过硫酸盐,溴酸盐,苯醌,氯酸盐,亚氯酸盐,次氯酸盐,次碘酸盐,溴氧化盐,过碳酸盐,高碘酸盐,铈盐,铬酸盐化合物和重铬酸盐化合物,氰铜酸盐和氰铁化物盐,铁菲咯啉,吡啶铁和铁铈齐。
4.权利要求3的化学机械抛光组合物,其中氧化剂包括至少一种选自下列的试剂:高锰酸钾,过乙酸,过硼酸钾,碘酸钾,高氯酸四甲基铵以及硫酸高铈铵。
5.权利要求2的化学机械抛光组合物,其中氧化剂包括至少一种选自下列的试剂:过乙酸,过氧化氢脲,二-t-丁基过氧化物,苄基过氧化物,以及过氧化氢。
6.权利要求2的化学机械抛光组合物,其中氧化剂包括至少一种选自硝酸铁和过氧化氢的试剂。
7.权利要求2的化学机械抛光组合物,其中氧化剂包括过氧化氢。
8.权利要求1的化学机械抛光组合物,进一步包括另一种与至少一种氨基酸组合的螯合剂。
9.权利要求8的化学机械抛光组合物,其中所述另一种螯合剂包括至少一种选自多胺复合物及其盐,以及聚羧酸的试剂。
10.权利要求9的化学机械抛光组合物,其中螯合剂包括至少一种选自乙二胺四乙酸,N-羟乙基乙二胺三乙酸,氨三乙酸,二乙三胺五乙酸,乙醇二氨基乙酸,邻苯二甲酸,草酸,苹果酸,琥珀酸,扁桃酸,和苯六酸。
11.权利要求1的化学机械抛光组合物,其中螯合剂包括甘氨酸。
12.权利要求1的化学机械抛光组合物,其中组合物不含有苯并三唑。
13.权利要求1的化学机械抛光组合物,其中基于化学机械抛光组合物的总重,5-氨基四唑的浓度为0.01至10%重量。
14.权利要求1的化学机械抛光组合物,其中基于化学机械抛光组合物的总重,5-氨基四唑的浓度为0.05至5%重量。
15.权利要求1的化学机械抛光组合物,其中基于化学机械抛光组合物的总重,5-氨基四唑的浓度为0.1至1.0%重量。
16.权利要求1的化学机械抛光组合物,其中基于化学机械抛光组合物的总重,5-氨基四唑的浓度为0.2至0.8%重量。
17.权利要求1的化学机械抛光组合物,进一步包括另一腐蚀抑制剂与5-氨基四唑组合。
18.权利要求17的化学机械抛光组合物,其中所述另一腐蚀抑制剂包括至少一种选自下列的抑制剂:咪唑,苯并三唑,苯并咪唑,四唑,脲和硫脲化合物及其衍生物。
19.权利要求17的化学机械抛光组合物,其中所述另一腐蚀抑制剂包括至少一种选自除5-氨基四唑之外的四唑及其衍生物的抑制剂。
20.权利要求1的化学机械抛光组合物,进一步包括研磨剂。
21.权利要求20的化学机械抛光组合物,其中研磨剂包括至少一种选自金属氧化物,氮化硅和碳化物的研磨剂。
22.权利要求20的化学机械抛光组合物,其中研磨剂包括至少一种选自下列的研磨剂:硅石,氧化铝,碳化硅,氮化硅,氧化铁,二氧化铈,氧化锆,氧化锡,二氧化钛,以及氧化铝包被的胶体硅石。
23.权利要求20的化学机械抛光组合物,其中研磨剂为分开形式。
24.权利要求23的化学机械抛光组合物,其中研磨剂为微粒形式。
25.权利要求20的化学机械抛光组合物,其中研磨剂包括氧化铝。
26.权利要求25的化学机械抛光组合物,其中氧化铝包括至少一种选自勃姆石和过渡δ,θ和γ相氧化铝的形式。
27.权利要求20的化学机械抛光组合物,其中研磨剂包括由至少两种材料形成的复合颗粒。
28.权利要求20的化学机械抛光组合物,其中研磨剂包括有机聚合物颗粒。
29.权利要求28的化学机械抛光组合物,其中有机聚合物颗粒由至少一种选自热固性树脂和热塑性树脂的材料形成。
30.权利要求28的化学机械抛光组合物,其中有机聚合物颗粒包括形成自至少两种不同材料的颗粒。
31.权利要求20的化学机械抛光组合物,其中研磨剂包括含有无机和有机组分的颗粒。
32.权利要求20的化学机械抛光组合物,其中研磨剂包括形成自至少一种选自下列树脂的颗粒:环氧树脂,聚氨酯橡胶,聚酯,聚酰胺,聚碳酸酯,聚烯烃,聚氯乙烯,聚苯乙烯和(甲基)丙烯酸树脂。
33.权利要求1的化学机械抛光组合物,进一步包括pH调节剂。
34.权利要求33的化学机械抛光组合物,其中pH调节剂包括至少一种酸。
35.权利要求34的化学机械抛光组合物,其中至少一种酸包括至少一种选自下列的酸:甲酸,乙酸,丙酸,丁酸,戊酸,异戊酸,己酸,庚酸,辛酸,壬酸,乳酸,盐酸,硝酸,磷酸,硫酸,氢氟酸,苹果酸,富马酸,丙二酸,戊二酸,乙醇酸,水杨酸,1,2,3-苯三羧酸,酒石酸,葡糖酸,柠檬酸,邻苯二甲酸,焦儿茶酸,焦棓酚羧酸,没食子酸,和丹宁酸。
36.权利要求33的化学机械抛光组合物,其中pH调节剂包括至少一种碱。
37.权利要求36的化学机械抛光组合物,其中至少一种碱包括至少一种选自下列的碱:氢氧化钾,氢氧化铵,四甲基氢氧化铵,四乙基氢氧化铵,三甲基羟乙基氢氧化铵,甲基三(羟乙基)氢氧化铵,四(羟乙基)氢氧化铵,以及苄基三甲基氢氧化铵。
38.权利要求1的化学机械抛光组合物,进一步包括至少一种胺。
39.权利要求38的化学机械抛光组合物,其中至少一种胺包括至少一种选自下列的胺:羟胺,单乙醇胺,二乙醇胺,三乙醇胺,二乙二醇胺,N-羟乙基哌嗪,N-甲基乙醇胺,N,N-二甲基乙醇胺,N-乙基乙醇胺,N,N-二乙基乙醇胺,丙醇胺,N,N-二甲基丙醇胺,N-乙基丙醇胺,N,N-二乙基丙醇胺,4-(2-羟乙基)吗啉,和氨基乙基哌嗪。
40.权利要求1的化学机械抛光组合物,进一步包括至少一种表面活性剂。
41.权利要求40的化学机械抛光组合物,其中至少一种表面活性剂包括至少一种选自下列的表面活性剂:非离子表面活性剂,阴离子表面活性剂,阳离子表面活性剂,两性表面活性剂,以及聚电解质。
42.权利要求40的化学机械抛光组合物,其中至少一种表面活性剂包括至少一种选自下列的表面活性剂:有机酸的盐,烷烃硫酸盐,烷烃磺酸盐,取代的胺盐,甜菜碱,聚环氧乙烷,聚乙烯醇,聚乙酸乙烯酯,聚丙烯酸,聚乙烯吡咯烷酮,聚乙烯亚胺,以及无水山梨醇的酯。
43.权利要求40的化学机械抛光组合物,其中至少一种表面活性剂包括至少一种选自十二烷基硫酸钠和十六烷基匹力定溴化物的表面活性剂。
44.权利要求1的化学机械抛光组合物,其pH为2至11。
45.权利要求1的化学机械抛光组合物,其pH为2至7.0。
46.权利要求1的化学机械抛光组合物,其pH为3至6。
47.权利要求1的化学机械抛光组合物,进一步包括溶剂。
48.权利要求47的化学机械抛光组合物,其中溶剂包括单一组分溶剂。
49.权利要求47的化学机械抛光组合物,其中溶剂包括多组分溶剂。
50.权利要求47的化学机械抛光组合物,其中溶剂包括至少一种有机溶剂。
51.权利要求50的化学机械抛光组合物,其中至少一种有机溶剂包括至少一种选自下列的溶剂:甲醇,乙醇,丙醇,丁醇,乙二醇,丙二醇,和甘油。
52.权利要求50的化学机械抛光组合物,其中至少一种有机溶剂包括至少一种含羟基的溶剂。
53.权利要求47的化学机械抛光组合物,其中溶剂包括至少一种醇。
54.权利要求47的化学机械抛光组合物,其中溶剂包括至少一种二元醇溶剂。
55.权利要求47的化学机械抛光组合物,其中溶剂包括水。
56.权利要求47的化学机械抛光组合物,其中溶剂包括水和醇。
57.权利要求1的化学机械抛光组合物,进一步包括氧化抑制剂,其含有至少一种选自羧酸和除5-氨基四唑和苯并三唑之外的唑化合物的抑制剂。
58.化学机械抛光组合物,含有研磨剂、溶剂、5-氨基四唑、H2O2和甘氨酸,其中基于组合物的总重,5-氨基四唑、H2O2和甘氨酸具有下列重量比浓度:
5-氨基四唑  0.01-10wt.%
H2O2        1-30wt.%
甘氨酸      0.1-25wt.%。
59.化学机械抛光组合物,基于组合物总重含有下列组分:
5-氨基四唑  0.01-10wt.%
H2O2        1-30wt.%
甘氨酸      0.1-25wt.%
研磨剂      0-30wt.%
水          30-90wt.%
所有组分在组合物中的总wt.%共计100wt.%。
60.权利要求59的化学机械抛光组合物,其中所述研磨剂包括硅石。
61.权利要求1的化学机械抛光组合物,包括含有第一部分和第二部分的两部分配方,所述第一部分包括研磨剂和5-氨基四唑的水性介质,而所述第二部分包括氧化剂和螯合剂。
62.权利要求1的化学机械抛光组合物,包括含有第一部分和第二部分的两部分配方,所述第一部分包括研磨剂、螯合剂和5-氨基四唑的水性介质,而所述第二部分包括氧化剂。
63.权利要求1的化学机械抛光组合物,在单个包装配方中包括研磨剂,5-氨基四唑,溶剂,氧化剂和螯合剂。
64.在其上具有铜的基材上抛光铜的方法,包括将基材上的铜在化学机械抛光条件下与对铜有效抛光的化学机械抛光组合物接触,其中化学机械抛光组合物包括5-氨基四唑和螯合剂,其中螯合剂包括至少一种选自甘氨酸,丝氨酸,脯氨酸,亮氨酸,丙氨酸,天冬酰胺,天冬氨酸,谷氨酰胺,缬氨酸和赖氨酸的氨基酸。
65.权利要求64的方法,其中所述化学机械抛光条件包括在接触有所述化学机械抛光组合物的所述铜上使用抛光元件,其中所述抛光元件包括至少一种选自抛光垫和抛光带的元件。
66.权利要求64的方法,其中所述化学机械抛光组合物进一步包括研磨剂,氧化剂,与至少一种氨基酸组合的另一种螯合剂和溶剂。
67.权利要求64的方法,其中所述化学机械抛光组合物进一步包括过氧化氢,甘氨酸,研磨剂和水。
68.权利要求64的方法,其中所述铜位于半导体基材上,并且形成微电子装置的组元。
69.权利要求68的方法,其中所述组元选自互连,触点,导电转接,镀金属,电极,以及场发射器组件用的导电基层。
70.权利要求64的方法,其中所述化学机械抛光组合物含有研磨剂、溶剂、5-氨基四唑、H2O2和甘氨酸,其中基于组合物的总重,5-氨基四唑、H2O2和甘氨酸具有下列重量比浓度:
5-氨基四唑   0.01-10wt.%
H2O2         1-30wt.%
甘氨酸       0.1-25wt.%。
71.权利要求64的方法,其中所述化学机械抛光组合物基于组合物的总重含有下列组分:
5-氨基四唑   0.01-10wt.%
H2O2         1-30wt.%
甘氨酸       0.1-25wt.%
研磨剂       0-30wt.%
水           30-90wt.%
所有组分在组合物中的总wt.%共计100wt.%。
72.权利要求64的方法,其中所述接触在抛光工作台上进行。
73.权利要求64的方法,其中所述接触以接触表面的抛光带进行。
74.权利要求64的方法,其中化学机械抛光组合物包括含有第一部分和第二部分的两部分配方,所述第一部分包括研磨剂和5-氨基四唑的水性介质,而所述第二部分包括氧化剂和螯合剂,所述方法进一步包括混合第一和第二部分生成所述化学机械抛光组合物。
75.权利要求64的方法,其中化学机械抛光组合物包括含有第一部分和第二部分的两部分配方,所述第一部分包括研磨剂、螯合剂和5-氨基四唑的水性介质,而所述第二部分包括氧化剂,所述方法进一步包括混合第一和第二部分生成所述化学机械抛光组合物。
76.权利要求64的方法,其中在单个包装配方中包括研磨剂,5-氨基四唑,溶剂,氧化剂和螯合剂。
CNB2003801085064A 2002-12-10 2003-12-02 用于铜膜平面化的钝化化学机械抛光组合物 Expired - Lifetime CN1329467C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/315,641 2002-12-10
US10/315,641 US7300601B2 (en) 2002-12-10 2002-12-10 Passivative chemical mechanical polishing composition for copper film planarization

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101065751A Division CN101085901A (zh) 2002-12-10 2003-12-02 用于铜膜平面化的钝化化学机械抛光组合物

Publications (2)

Publication Number Publication Date
CN1735671A CN1735671A (zh) 2006-02-15
CN1329467C true CN1329467C (zh) 2007-08-01

Family

ID=32468759

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2003801085064A Expired - Lifetime CN1329467C (zh) 2002-12-10 2003-12-02 用于铜膜平面化的钝化化学机械抛光组合物
CNA2007101065751A Pending CN101085901A (zh) 2002-12-10 2003-12-02 用于铜膜平面化的钝化化学机械抛光组合物

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2007101065751A Pending CN101085901A (zh) 2002-12-10 2003-12-02 用于铜膜平面化的钝化化学机械抛光组合物

Country Status (6)

Country Link
US (5) US7300601B2 (zh)
EP (1) EP1570015A4 (zh)
CN (2) CN1329467C (zh)
AU (1) AU2003297590A1 (zh)
TW (1) TWI338711B (zh)
WO (1) WO2004053008A2 (zh)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7736405B2 (en) * 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US7972970B2 (en) * 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
TWI244498B (en) * 2003-11-20 2005-12-01 Eternal Chemical Co Ltd Chemical mechanical abrasive slurry and method of using the same
US20050189322A1 (en) * 2004-02-27 2005-09-01 Lane Sarah J. Compositions and methods for chemical mechanical polishing silica and silicon nitride
US20050279964A1 (en) * 2004-06-17 2005-12-22 Ming-Tseh Tsay Chemical mechanical polishing slurry for polishing copper layer on a wafer
US20060000808A1 (en) * 2004-07-01 2006-01-05 Fuji Photo Film Co., Ltd. Polishing solution of metal and chemical mechanical polishing method
US7144599B2 (en) 2004-07-15 2006-12-05 Birchwood Laboratories, Inc. Hybrid metal oxide/organometallic conversion coating for ferrous metals
US20060021972A1 (en) * 2004-07-28 2006-02-02 Lane Sarah J Compositions and methods for chemical mechanical polishing silicon dioxide and silicon nitride
US8178482B2 (en) * 2004-08-03 2012-05-15 Avantor Performance Materials, Inc. Cleaning compositions for microelectronic substrates
KR100672941B1 (ko) * 2004-10-06 2007-01-24 삼성전자주식회사 구리 부식 억제 세정 용액 및 이를 이용하는 씨엠피 공정
JP5026665B2 (ja) * 2004-10-15 2012-09-12 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
US20060116313A1 (en) * 2004-11-30 2006-06-01 Denise Geitz Compositions comprising tannic acid as corrosion inhibitor
US20060124026A1 (en) * 2004-12-10 2006-06-15 3M Innovative Properties Company Polishing solutions
US7446046B2 (en) * 2005-01-06 2008-11-04 Intel Corporation Selective polish for fabricating electronic devices
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
KR20060101396A (ko) * 2005-03-17 2006-09-22 후지 샤신 필름 가부시기가이샤 금속 연마액 및 연마방법
US20060216935A1 (en) * 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
US7294044B2 (en) * 2005-04-08 2007-11-13 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
US7467988B2 (en) * 2005-04-08 2008-12-23 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
KR100661273B1 (ko) * 2005-04-28 2006-12-26 테크노세미켐 주식회사 고단차 산화막의 평탄화를 위한 화학기계적 연마조성물
US20110045741A1 (en) * 2005-04-28 2011-02-24 Techno Semichem Co., Ltd. Auto-Stopping Abrasive Composition for Polishing High Step Height Oxide Layer
SG127749A1 (en) * 2005-05-11 2006-12-29 Agency Science Tech & Res Method and solution for forming anatase titanium dioxide, and titanium dioxide particles, colloidal dispersion and film
TWI434957B (zh) * 2005-06-06 2014-04-21 Advanced Tech Materials 單板製程用之整合化學機械拋光組成物及方法
US20060283093A1 (en) * 2005-06-15 2006-12-21 Ivan Petrovic Planarization composition
US7718536B2 (en) * 2005-06-16 2010-05-18 United Microelectronics Corp. Planarization process for pre-damascene structure including metal hard mask
JP4679277B2 (ja) * 2005-07-11 2011-04-27 富士通セミコンダクター株式会社 半導体装置の製造方法
WO2007019342A2 (en) * 2005-08-05 2007-02-15 Advanced Technology Materials, Inc. High throughput chemical mechanical polishing composition for metal film planarization
KR20070017762A (ko) * 2005-08-08 2007-02-13 엘지.필립스 엘시디 주식회사 식각액 조성물, 이를 이용한 도전막의 패터닝 방법 및평판표시장치의 제조 방법
KR100734274B1 (ko) * 2005-09-05 2007-07-02 삼성전자주식회사 기판 세정용 조성물을 이용한 게이트 형성 방법
US20100256034A1 (en) * 2005-09-22 2010-10-07 Pantheon Chemical, Inc. Copper chelating agent, composition including the agent, and methods of forming and using the agent and composition
JP2007088379A (ja) * 2005-09-26 2007-04-05 Fujifilm Corp 水系研磨液、及び、化学機械的研磨方法
KR101011318B1 (ko) * 2005-10-12 2011-01-28 히다치 가세고교 가부시끼가이샤 Cmp용 연마액 및 연마 방법
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
AU2006340825A1 (en) * 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
US20070218692A1 (en) * 2006-01-31 2007-09-20 Nissan Chemical Industries, Ltd. Copper-based metal polishing compositions and polishing processes
KR20070088245A (ko) * 2006-02-24 2007-08-29 후지필름 가부시키가이샤 금속용 연마액
US20070209287A1 (en) * 2006-03-13 2007-09-13 Cabot Microelectronics Corporation Composition and method to polish silicon nitride
US7820067B2 (en) * 2006-03-23 2010-10-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
CN100491072C (zh) * 2006-06-09 2009-05-27 河北工业大学 Ulsi多层铜布线化学机械抛光中碟形坑的控制方法
US7396768B2 (en) * 2006-10-20 2008-07-08 Hitachi Global Storage Technologies Netherlands B.V. Copper damascene chemical mechanical polishing (CMP) for thin film head writer fabrication
CN101573420A (zh) * 2006-12-04 2009-11-04 巴斯夫欧洲公司 用于金属表面的包含水合氧化铝研磨剂的平整化组合物
CN101225282B (zh) * 2007-01-19 2013-05-01 安集微电子(上海)有限公司 一种低介电材料抛光液
US20100087065A1 (en) * 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
JP5121273B2 (ja) * 2007-03-29 2013-01-16 富士フイルム株式会社 金属用研磨液及び研磨方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7670497B2 (en) * 2007-07-06 2010-03-02 International Business Machines Corporation Oxidant and passivant composition and method for use in treating a microelectronic structure
WO2009017652A2 (en) * 2007-07-26 2009-02-05 Cabot Microelectronics Corporation Compositions and methods for chemical-mechanical polishing of phase change materials
US20090031636A1 (en) * 2007-08-03 2009-02-05 Qianqiu Ye Polymeric barrier removal polishing slurry
US20090032765A1 (en) * 2007-08-03 2009-02-05 Jinru Bian Selective barrier polishing slurry
CN101451047B (zh) * 2007-11-30 2013-10-23 安集微电子(上海)有限公司 一种化学机械抛光液
US8425797B2 (en) * 2008-03-21 2013-04-23 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US8247326B2 (en) * 2008-07-10 2012-08-21 Cabot Microelectronics Corporation Method of polishing nickel-phosphorous
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
KR101279966B1 (ko) * 2008-12-29 2013-07-05 제일모직주식회사 금속 배선 연마용 cmp 슬러리 조성물 및 이를 이용한 연마 방법
KR20100091436A (ko) * 2009-02-10 2010-08-19 삼성전자주식회사 화학적 기계적 연마용 용액 조성물
SG196817A1 (en) * 2009-02-16 2014-02-13 Hitachi Chemical Co Ltd Polishing agent for copper polishing and polishing method using same
US8845915B2 (en) 2009-02-16 2014-09-30 Hitachi Chemical Company, Ltd. Abrading agent and abrading method
EP2226374B1 (en) * 2009-03-06 2012-05-16 S.O.I. TEC Silicon Etching composition, in particular for silicon materials, method for characterizing defects of such materials and process of treating such surfaces with etching composition
CN101906269A (zh) * 2009-06-08 2010-12-08 安集微电子科技(上海)有限公司 一种金属化学机械抛光的浆料及其使用方法
WO2011028667A2 (en) * 2009-09-02 2011-03-10 Novellus Systems, Inc. Reduced isotropic etchant material consumption and waste generation
CN102640275B (zh) 2009-11-30 2015-12-02 巴斯夫欧洲公司 从衬底去除本体材料层的方法以及适于该方法的化学机械抛光剂
EP2507332A4 (en) 2009-11-30 2017-08-02 Basf Se Process for removing bulk material layer from substrate and chemical mechanical polishing agent suitable for this process
JP5582187B2 (ja) 2010-03-12 2014-09-03 日立化成株式会社 スラリ、研磨液セット、研磨液及びこれらを用いた基板の研磨方法
CN102220133B (zh) * 2010-04-19 2014-02-12 深圳富泰宏精密工业有限公司 碳化钛和/或氮化钛膜层的退除液及退除方法
TWI471458B (zh) * 2010-04-30 2015-02-01 Fih Hong Kong Ltd 碳化鈦及氮化鈦膜層之退除液及退除方法
CN102337079B (zh) * 2010-07-23 2015-04-15 安集微电子(上海)有限公司 一种化学机械抛光液
JP6101421B2 (ja) * 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
BR112013003854A2 (pt) 2010-08-20 2016-06-07 Advanced Tech Materials processo sustentável para reivindicação de metais preciosos e metais de base oriundo de resíduo e
CN102373014A (zh) * 2010-08-24 2012-03-14 安集微电子(上海)有限公司 一种化学机械抛光液
JP6196155B2 (ja) 2010-09-08 2017-09-13 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 水性研磨剤組成物、並びに電気、機械及び光学デバイス用の基板材料を研磨する方法
WO2012032451A1 (en) 2010-09-08 2012-03-15 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
SG188459A1 (en) 2010-09-08 2013-04-30 Basf Se Aqueous polishing compositions containing n-substituted diazenium dioxidesand/or n'-hydroxy-diazenium oxide salts
CN102399494B (zh) * 2010-09-10 2014-12-31 安集微电子(上海)有限公司 一种化学机械抛光液
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
WO2012046179A1 (en) 2010-10-07 2012-04-12 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
WO2012051380A2 (en) 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
CN102453439B (zh) * 2010-10-22 2015-07-29 安集微电子(上海)有限公司 一种化学机械抛光液
KR20130129398A (ko) 2010-11-22 2013-11-28 히타치가세이가부시끼가이샤 지립의 제조 방법, 슬러리의 제조 방법 및 연마액의 제조 방법
CN103222035B (zh) * 2010-11-22 2016-09-21 日立化成株式会社 悬浮液、研磨液套剂、研磨液、基板的研磨方法及基板
CN103409108B (zh) 2010-11-22 2015-04-22 日立化成株式会社 悬浮液、研磨液套剂、研磨液、基板的研磨方法及基板
EP2649144A4 (en) 2010-12-10 2014-05-14 Basf Se AQUEOUS POLISHING COMPOSITION AND METHOD FOR MECHANICAL CHEMICAL POLISHING OF SUBSTRATES CONTAINING SILICON OXIDE DIELECTRIC AND POLYSILICON FILMS
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
EP2684213A4 (en) 2011-03-11 2014-11-26 Basf Se METHOD FOR PRODUCING BASIC CONTACT HOLES FOR WAFER
KR20140019401A (ko) * 2011-03-22 2014-02-14 바스프 에스이 중합체성 폴리아민을 포함하는 화학적 기계적 폴리싱 (cmp) 조성물
US8309468B1 (en) * 2011-04-28 2012-11-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing germanium-antimony-tellurium alloys
US8790160B2 (en) * 2011-04-28 2014-07-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing phase change alloys
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN102504705B (zh) * 2011-10-17 2014-07-09 河南省化工研究所有限责任公司 光通讯Zr02陶瓷插芯精密加工用抛光液及其制备方法
CN102604542A (zh) * 2012-02-21 2012-07-25 复旦大学 基于铜互连中以金属钌作为粘附阻挡层的抛光工艺的抛光液
JP6044629B2 (ja) 2012-02-21 2016-12-14 日立化成株式会社 研磨剤、研磨剤セット及び基体の研磨方法
SG11201405091TA (en) 2012-02-21 2014-09-26 Hitachi Chemical Co Ltd Polishing agent, polishing agent set, and substrate polishing method
SG11201407087XA (en) 2012-05-22 2014-12-30 Hitachi Chemical Co Ltd Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
CN104321852B (zh) 2012-05-22 2016-12-28 日立化成株式会社 悬浮液、研磨液套剂、研磨液、基体的研磨方法及基体
WO2013175856A1 (ja) 2012-05-22 2013-11-28 日立化成株式会社 スラリー、研磨液セット、研磨液、基体の研磨方法及び基体
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
KR101933529B1 (ko) * 2012-12-28 2019-03-15 동우 화인켐 주식회사 구리계 금속막의 식각액 조성물 및 이를 이용한 액정표시장치용 어레이 기판의 제조방법
CN103450812B (zh) * 2013-01-10 2014-09-17 湖南皓志新材料股份有限公司 一种用于蓝宝石衬底的抛光液
SG10201706443QA (en) 2013-03-04 2017-09-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
JP6203525B2 (ja) * 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
KR102338550B1 (ko) * 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
US8974692B2 (en) * 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
KR102338526B1 (ko) 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR102340516B1 (ko) 2013-08-30 2021-12-21 엔테그리스, 아이엔씨. 티타늄 니트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
CN104449564A (zh) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 单分散研磨液及其制备方法、无机氧化物溶胶制备方法
CA2932347C (en) * 2013-12-02 2023-02-14 Ecolab Usa Inc. Tetrazole based corrosion inhibitors
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN103789770B (zh) * 2014-02-14 2016-08-31 东莞宜安科技股份有限公司 大块非晶及纳米晶合金表面化学抛光工艺
JP6879202B2 (ja) * 2015-03-10 2021-06-02 昭和電工マテリアルズ株式会社 研磨剤、研磨剤用貯蔵液及び研磨方法
CN104746082B (zh) * 2015-03-12 2017-05-17 深圳新宙邦科技股份有限公司 一种水基铜防锈剂及其制备方法
US9978609B2 (en) 2015-04-27 2018-05-22 Versum Materials Us, Llc Low dishing copper chemical mechanical planarization
CN105002498A (zh) * 2015-07-24 2015-10-28 金川集团股份有限公司 一种gh625高温合金金相腐蚀液及其配制方法和使用方法
CN105086836A (zh) * 2015-08-19 2015-11-25 三峡大学 一种氧化铈抛光液及其制备方法
WO2017156304A1 (en) 2016-03-09 2017-09-14 Entegris, Inc. Tungsten post-cmp cleaning compositions
CN105802582A (zh) * 2016-05-23 2016-07-27 昆山金城试剂有限公司 稀土研磨液
WO2017214995A1 (zh) * 2016-06-17 2017-12-21 深圳市恒兆智科技有限公司 抛光剂、铜件及其抛光处理方法
KR101943704B1 (ko) * 2016-06-27 2019-01-29 삼성에스디아이 주식회사 금속막용 cmp 슬러리 조성물 및 연마 방법
CN106119855B (zh) * 2016-08-17 2018-08-21 安徽红桥金属制造有限公司 一种不锈钢材料抛光剂的制备方法
WO2018056122A1 (ja) * 2016-09-21 2018-03-29 日立化成株式会社 スラリ及び研磨方法
KR102337333B1 (ko) * 2017-05-25 2021-12-13 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 세라믹 재료의 화학기계적 연마를 위한 산화 유체
CN107164764A (zh) * 2017-06-09 2017-09-15 大连理工大学 一种铜的环保化学机械抛光方法
WO2019006601A1 (zh) * 2017-07-03 2019-01-10 深圳市宏昌发科技有限公司 一种抛光剂、铜件及其抛光处理方法
US11401441B2 (en) 2017-08-17 2022-08-02 Versum Materials Us, Llc Chemical mechanical planarization (CMP) composition and methods therefore for copper and through silica via (TSV) applications
US10465096B2 (en) 2017-08-24 2019-11-05 Versum Materials Us, Llc Metal chemical mechanical planarization (CMP) composition and methods therefore
WO2019113005A1 (en) * 2017-12-04 2019-06-13 Chemtreat, Inc. Methods and compositions for inhibiting corrosion on metal surfaces
US11560533B2 (en) * 2018-06-26 2023-01-24 Versum Materials Us, Llc Post chemical mechanical planarization (CMP) cleaning
CN111378972A (zh) * 2018-12-29 2020-07-07 安集微电子(上海)有限公司 一种化学机械抛光液
US20200277514A1 (en) 2019-02-28 2020-09-03 Versum Materials Us, Llc Chemical Mechanical Polishing For Copper And Through Silicon Via Applications
CN114072488A (zh) * 2019-05-01 2022-02-18 富士胶片电子材料美国有限公司 蚀刻组合物
CN110256968B (zh) * 2019-05-29 2021-01-01 湖南皓志科技股份有限公司 一种用于铜抛光的氧化铝抛光液及其制备方法
EP3983500A4 (en) * 2019-06-13 2022-11-02 FUJIFILM Electronic Materials U.S.A, Inc. ETCHING COMPOSITIONS
TWI795674B (zh) * 2019-09-24 2023-03-11 美商慧盛材料美國責任有限公司 阻障物化學機械平坦化(cmp)研磨組合物、系統及其研磨方法
KR20220070026A (ko) * 2019-09-30 2022-05-27 버슘머트리얼즈 유에스, 엘엘씨 낮은 디싱 구리 화학적 기계적 평탄화
CN111235579A (zh) * 2019-12-31 2020-06-05 南方科技大学 金属抛光方法
CN111362883B (zh) * 2020-04-16 2022-04-19 安美科技股份有限公司 苯并三氮唑衍生物缓蚀剂及其制备方法与应用
CN112160002B (zh) * 2020-09-15 2021-05-28 深圳市崇辉表面技术开发有限公司 一种在铜合金表面进行表面活化处理的方法
CN114686115A (zh) * 2020-12-30 2022-07-01 安集微电子科技(上海)股份有限公司 一种化学机械抛光液及其使用方法
CN114855156A (zh) * 2022-05-09 2022-08-05 如皋市凯源电器设备有限公司 一种耐腐蚀导电条的制备工艺
CN115449302A (zh) * 2022-09-20 2022-12-09 江西鑫铂瑞科技有限公司 一种电解铜箔阴极钛辊用新型抛光液的使用方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1081200A1 (en) * 1999-09-06 2001-03-07 JSR Corporation Aqueous dispersion composition for chemical mechanical polishing for use in manufacture of semiconductor devices
US20010008828A1 (en) * 2000-01-12 2001-07-19 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
EP1138734A2 (en) * 2000-03-31 2001-10-04 JSR Corporation Aqueous dispersion for chemical mechanical polishing of metal films
EP1138733A2 (en) * 2000-03-27 2001-10-04 JSR Corporation Aqueous dispersion for chemical mechanical polishing of insulating films

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5332341B2 (zh) * 1973-03-27 1978-09-07
US4468339B1 (en) * 1982-01-21 1989-05-16 Aqueous compositions containing overbased materials
JP2781954B2 (ja) * 1994-03-04 1998-07-30 メック株式会社 銅および銅合金の表面処理剤
KR100302671B1 (ko) * 1996-07-25 2001-09-22 피. 제리 코더 화학기계적연마용조성물및화학기계적연마방법
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6099604A (en) * 1997-08-21 2000-08-08 Micron Technology, Inc. Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto
US6168508B1 (en) * 1997-08-25 2001-01-02 Lsi Logic Corporation Polishing pad surface for improved process control
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
JP4163785B2 (ja) * 1998-04-24 2008-10-08 スピードファム株式会社 研磨用組成物及び研磨加工方法
US6114215A (en) * 1998-07-06 2000-09-05 Lsi Logic Corporation Generating non-planar topology on the surface of planar and near-planar substrates
JP4095731B2 (ja) * 1998-11-09 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法及び半導体装置
US6184141B1 (en) * 1998-11-24 2001-02-06 Advanced Micro Devices, Inc. Method for multiple phase polishing of a conductive layer in a semidonductor wafer
JP4053165B2 (ja) * 1998-12-01 2008-02-27 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6783434B1 (en) * 1998-12-25 2004-08-31 Hitachi Chemical Company, Ltd. CMP abrasive, liquid additive for CMP abrasive and method for polishing substrate
JP2001015460A (ja) * 1999-06-30 2001-01-19 Toshiba Corp 半導体装置の製造方法
US6159077A (en) * 1999-07-30 2000-12-12 Corning Incorporated Colloidal silica polishing abrasive
TW499471B (en) 1999-09-01 2002-08-21 Eternal Chemical Co Ltd Chemical mechanical/abrasive composition for semiconductor processing
JP2001077060A (ja) * 1999-09-08 2001-03-23 Toshiba Corp 半導体装置の製造方法
DE19942984A1 (de) * 1999-09-09 2001-03-15 Schaeffler Waelzlager Ohg Radial-Axial-Lagereinheit
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
JP2001187877A (ja) * 1999-12-28 2001-07-10 Nec Corp 化学的機械的研磨用スラリー
JP3490038B2 (ja) * 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 金属配線形成方法
JP2002075927A (ja) * 2000-08-24 2002-03-15 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6612911B2 (en) * 2001-01-16 2003-09-02 Cabot Microelectronics Corporation Alkali metal-containing polishing system and method
US6811470B2 (en) * 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US6805812B2 (en) * 2001-10-11 2004-10-19 Cabot Microelectronics Corporation Phosphono compound-containing polishing composition and method of using same
KR100428787B1 (ko) * 2001-11-28 2004-04-28 삼성전자주식회사 슬러리 저장 유니트 및 사용점에서의 혼합 유니트를 갖는슬러리 공급장치
US7132058B2 (en) * 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
KR20060015723A (ko) 2003-05-09 2006-02-20 산요가세이고교 가부시키가이샤 씨엠피 프로세스용 연마액 및 연마방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1081200A1 (en) * 1999-09-06 2001-03-07 JSR Corporation Aqueous dispersion composition for chemical mechanical polishing for use in manufacture of semiconductor devices
US20010008828A1 (en) * 2000-01-12 2001-07-19 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
EP1138733A2 (en) * 2000-03-27 2001-10-04 JSR Corporation Aqueous dispersion for chemical mechanical polishing of insulating films
EP1138734A2 (en) * 2000-03-31 2001-10-04 JSR Corporation Aqueous dispersion for chemical mechanical polishing of metal films

Also Published As

Publication number Publication date
US7361603B2 (en) 2008-04-22
US20090137122A1 (en) 2009-05-28
EP1570015A2 (en) 2005-09-07
CN101085901A (zh) 2007-12-12
US20050255693A1 (en) 2005-11-17
TWI338711B (en) 2011-03-11
US20040108302A1 (en) 2004-06-10
TW200417600A (en) 2004-09-16
AU2003297590A1 (en) 2004-06-30
AU2003297590A8 (en) 2004-06-30
WO2004053008A3 (en) 2004-09-02
WO2004053008A2 (en) 2004-06-24
US7300601B2 (en) 2007-11-27
US8236695B2 (en) 2012-08-07
US20050263490A1 (en) 2005-12-01
CN1735671A (zh) 2006-02-15
EP1570015A4 (en) 2006-01-25
US20070181852A1 (en) 2007-08-09

Similar Documents

Publication Publication Date Title
CN1329467C (zh) 用于铜膜平面化的钝化化学机械抛光组合物
US7736405B2 (en) Chemical mechanical polishing compositions for copper and associated materials and method of using same
JP4081064B2 (ja) アスパラギン酸/トリルトリアゾールを用いる化学的機械的平坦化のための調整可能な組成物および方法
CN101356628B (zh) 用于对金属膜进行平坦化的高通量化学机械抛光组合物
KR100302671B1 (ko) 화학기계적연마용조성물및화학기계적연마방법
KR101144419B1 (ko) 금속-함유 기판의 화학 기계적 평탄화를 위한 방법 및 조성물
US20060249482A1 (en) Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
US20090215269A1 (en) Integrated chemical mechanical polishing composition and process for single platen processing
US20100081279A1 (en) Method for Forming Through-base Wafer Vias in Fabrication of Stacked Devices
CN1301288A (zh) 用于铜基材的化学机械抛光浆料
JP2004502860A (ja) すぐ使用できる安定な化学的機械的研磨スラリー
CN103897602A (zh) 一种化学机械抛光液及抛光方法
CN101297009A (zh) 用于使表面平坦化的组合物及方法
CN109971353A (zh) 一种化学机械抛光液
KR100583533B1 (ko) 구리 연마용 조성물
KR101134588B1 (ko) 금속 배선용 화학 기계적 연마 조성물
CN102408834A (zh) 一种化学机械抛光液
US20040172886A1 (en) CMP composition based on cupric oxidizing compounds
Gorantla Role of slurry chemicals in chemical-mechanical planarization of copper interconnects

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150416

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150416

Address after: Massachusetts, USA

Patentee after: MYKROLIS Corp.

Address before: American Connecticut

Patentee before: Advanced Technology Materials, Inc.

C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: ENTEGRIS, Inc.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.

CX01 Expiry of patent term

Granted publication date: 20070801

CX01 Expiry of patent term