CN1324162C - 在低介电常数电介质上沉积化学气相沉积膜和原子层沉积膜的方法 - Google Patents

在低介电常数电介质上沉积化学气相沉积膜和原子层沉积膜的方法 Download PDF

Info

Publication number
CN1324162C
CN1324162C CNB028132599A CN02813259A CN1324162C CN 1324162 C CN1324162 C CN 1324162C CN B028132599 A CNB028132599 A CN B028132599A CN 02813259 A CN02813259 A CN 02813259A CN 1324162 C CN1324162 C CN 1324162C
Authority
CN
China
Prior art keywords
dielectric layer
layer
dielectric
active ingredient
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028132599A
Other languages
English (en)
Other versions
CN1522313A (zh
Inventor
志鹏·劳
驰-I·吴
颖·周
格兰特·M·克洛斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1522313A publication Critical patent/CN1522313A/zh
Application granted granted Critical
Publication of CN1324162C publication Critical patent/CN1324162C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating

Abstract

本发明提供了一种用于增强在低介电常数(低k)电介质层上CVD或ALD沉积的膜/层的成核和/或附着的方法,所述低k电介质层例如为聚合物电介质或掺碳氧化物。在一个实施例中,所述方法包括向沉积室中提供衬底。在衬底上方形成具有活性组分的电介质层。然后,对所形成的具有活性组分的电介质层进行处理,以至少在所形成的电介质层的表面上产生极性基团或极性位置。本发明形成低k有机聚合物电介质层或者有机掺杂的氧化物电介质层,所形成的电介质层具有增强的成核和/或附着特性以用于随后沉积的诸如阻挡材料层的层。

Description

在低介电常数电介质上沉积化学气相沉积膜和原子层沉积膜的方法
技术领域
本发明涉及集成电路制造,更具体地说,本发明涉及下面这种方法,该方法用于增强在低介电常数(低k)的电介质层、高介电常数(高k)的栅极电介质层或者高k的电容器电介质层上沉积的膜/层的成核和附着。
背景技术
在集成电路(IC)制造中,因为金属间距缩小到等于或小于0.2微米,阻挡材料的物理气相沉积(PVD)不再能够提供充分的阶梯式覆盖(step coverage),所以必须使用其他替代的技术。对于包括化学气相沉积(CVD)和原子层沉积(ALD)的这些替代的技术来说,在衬底上的膜/层的成核和/或附着是非常关键的。这对于表面活性位置(active site)一般低于1×1013个原子每平方厘米(atom/cm2)的低介电常数(低k)的聚合物电介质来说尤其必要,而相比之下氧化硅(SiOx)中的表面活性位置为1×1014-15个原子每平方厘米。类似的情况也适用于诸如含有机物的二氧化硅或者掺碳氧化物(carbon-doped oxide,CDO)的低介电常数的电介质,它们的可润湿性或者粘附系数可以因Si-CH3表面而很低。
一种用于增强在低k电介质上CVD沉积的和ALD沉积的膜的成核和/或附着的方法,包括选择一种材料作为电介质衬底,其可能不具有所期望的介电常数性能。另一种用于增强在低k电介质上CVD沉积的或ALD沉积的膜的成核和/或附着的技术是只使用用于所沉积的例如阻挡层的膜/层的特定类型的材料,这可能限制所制造出来的集成电路的性能。另一种用于增强膜在低k电介质上的成核和/或附着的方法是使用诸如高温之类的非理想的处理条件来处理具有CVD膜的衬底。
附图说明
通过示例图示了本发明,但是本发明不限于附图中的示例,在附图中类似的标号指示相似的元件,其中:
图1表示一般性地根据本发明的用于增强在诸如低k电介质、高k电介质、高k电容器电介质等之类的电介质上所沉积的膜/层的成核和附着的工艺;
图2A-2C是图示了根据图1中示出的工艺实施例所处理的衬底的横截面示意图;
图3是根据本发明的用于增强在铜镶嵌结构的低k电介质层上所沉积的诸如阻挡层的膜/层的成核和附着的工艺的实施例;以及
图4A-4E是图示根据图3中示出的工艺实施例所处理的衬底的横截面示意图。
具体实施方式
描述了一种用于增强在诸如聚合物电介质或掺碳氧化物的低介电常数(低k)电介质层上CVD沉积的或ALD沉积的膜/层的成核和/或附着的方法。通过这个工艺,低k电介质层可以被修饰而不影响诸如刻蚀和化学机械抛光(CMP)的其他集成电路制造工艺。
本发明形成低k有机聚合物层或有机掺杂的氧化物电介质层,所述低k有机聚合物层或有机掺杂的氧化物电介质层对于诸如阻挡材料层之类的随后所沉积的层具有增强的成核和/或附着特性。在此处所讨论的方法的发明人已经将Si-OH或极性表面化学性质确定为用于增强在低k电介质上CVD沉积的或ALD沉积的阻挡材料层或其他材料层的成核和/或附着的决定性的参数,尤其是对于掺碳氧化物和聚合物电介质而言Si-OH或极性表面化学性质更加重要。发明人的数据支持了这一发现,所述数据示出了氮化钛(TiN)在含硅聚苯上有良好的成核和沉积,其中所述含硅聚苯在前驱体溶液基质中具有2-3个原子百分比(at.%)的硅以及与Si-OH含量相关联的SiO2
虽然包含在其中的讨论具体参考了增强在低k电介质材料层上CVD沉积的或ALD沉积的阻挡膜/层的成核和附着的方法,但是本发明的方法不限于此。如下面所讨论的,本发明的方法对于其他IC制造应用也是非常有用的,所述其他制造应用包括例如使用CVD或ALD沉积技术的高k栅极电介质层沉积(例如氧化铝(Al2O3)、五氧化二钽(Ta2O5)、五氧化二铪(Hf2O5)材料层)以及高k电容器电介质层沉积(例如Ta2O5、钛酸钡锶(BaSrTiO3或BST))。
在下面详细的描述中,阐述了大量具体的细节,以提供对本发明更加全面的理解。但是,很明显,对于本发明所属领域的技术人员来说,没有这些具体的细节,本发明也可以实施。在其他实例中,没有详细描述公知的设备、方法、步骤和个别组件,以避免喧宾夺主、不必要地淡化了本发明的主要内容。
参照附图,其中相似的标号指示相似的单元,通过图1至图4图示了本发明的用于增强在低k电介质层上CVD沉积的或ALD沉积的诸如阻挡层的膜/层的成核和/或附着的实施例。
图1表示一般性地根据本发明用于增强在低k有机聚合物电介质层、有机掺杂的二氧化硅电介质层或者例如高k栅极电介质层或DRAM电容器电介质层之类的本领域中公知的其他电介质层上,CVD沉积的或ALD沉积的膜/层的成核和附着的工艺。图2A到2C是图示了根据图1中示出的工艺实施例所处理的衬底的横截面示意图。
参照图1和图2A,本发明的方法一般以下面这个步骤(图1的工艺图100的方框110)开始:在集成电路(IC)制造常用的系统的沉积室中提供衬底10。适合于本发明的衬底材料包括但不限于低k介电材料,诸如低k聚合物电介质或含有机物的二氧化硅或掺碳氧化物(CDO)电介质。诸如硅和含硅的复合物以及在IC制造领域中公知的其他衬底材料也在本发明的范围之内。衬底10上可以什么都没有,或者可以具有金属线、晶体管或根据传统的IC制造技术在衬底/晶片表面11下已经制造出来的其他电路。
继续参照图1和图2A,沉积室中放置有衬底10,而在该衬底10上方形成具有活性组分20的电介质层12(图1中的工艺图100的方框120)。活性组分20可以包括在所形成的电介质层12上产生极性基团或极性位置的任何组分,或者增强极性基团或极性位置在所形成的电介质层12上的生成的任何组分。在一个实施例中,活性组分20被加入到用于形成电介质层12的前驱体溶液22中。然后,具有活性组分20的前驱体溶液22通过化学气相沉积(CVD)工艺、原子气相沉积(ALD)工艺等被沉积到衬底10上方,以形成电介质层12。或者,为了使对介电常数的影响最小化,不是将活性组分20加入到前驱体溶液22基质中,而可以将活性组分20沉积到已经形成的电介质层12的表面上。在衬底10上形成的介电膜12的厚度13可以根据所制造的集成电路(IC)的类型、处理的优先选择等而变化,但是一般地厚度13可以在约500埃()到约50,000的范围内变化,优选在约2,000到20,000的范围内。
在一个实施例中,使用适当的CVD技术在衬底10上方形成电介质层12,其中在约为150℃到400℃的温度范围以及约1托到5托的压强范围内,将具有活性组分20以及诸如用于CDO的四甲基环四硅氧烷、用于CDO的硅烷、用于聚四氟乙烯的氧化六氟丙烯、用于高k电介质的乙酰丙酮锆(以及其他金属乙酰丙酮化物)或用于高k电介质的四乙氧基铪酸酯(tetraethoxyhafniate)(以及其他金属烷氧基化物)之类的前驱体的溶液沉积在衬底10上方。
电介质层12可以由诸如低k聚合物电介质或者含有机物的二氧化硅或掺碳氧化物电介质的低k电介质材料形成。或者,电介质层12可以由诸如氧化铝(Al2O3)、五氧化二钽(Ta2O5)、五氧化二铪(Hf2O5)等的高k栅极电介质材料形成。在另一个实施例中,电介质层12可以由诸如Ta2O5、钛酸钡锶(BaSrTiO3或BST)等的高k电容器电介质材料形成。通过本发明的实施所形成的电介质层可以是层间电介质(ILD)层、金属间电介质(IMD)层、金属前电介质(premetal dielectric)或者在制造集成电路中使用的任何类型的电介质层。一般地,所形成的电介质层被涂覆到将被处理为为集成电路(IC)或其它微电子器件的晶片衬底上。晶片/衬底在其表面上可以有电路图案,也可以没有。
可以在这个发明中使用的活性组分20,包括但不限于包含极性端基、含硅(Si-)基或酚基的组分。通过将活性组分20加入到前驱体溶液22中或者加到所形成的一侧具有非极性键而另一侧具有极性键的电介质层12材料上,能够以类似于形成双层磷脂和自组装胶束的方式来增加表面极性基团或极性位置(site)。活性组分20的非极性组分将被吸附到有机聚合物或有机掺杂的氧化物的基体上并嵌入其中。极性基团将优先地朝着有机聚合物或有机掺杂氧化物的表面取向。
在本发明的一个实施例中,加入到前驱体溶液22基质中的或者加到所形成的电介质层12上的活性组分20,可以包括诸如三甲基硅烷醇、三苯基硅烷醇等的有机硅烷醇和硅烷醇。有机硅烷醇和硅烷醇的有机部分提供了在有机聚合物或有机掺杂的氧化物之中的必要的溶解度,而极性Si-OH键将朝着表面取向以帮助或增强随后沉积的材料层的成核,包括例如阻挡材料层的成核。用另一种方式来陈述,化学通式为-(R2Si)nOH的有机硅烷醇是可以被加到前驱体溶液22基质中的或者加到所形成的电介质层12上的一类活性组分20的分子,这里R代表诸如烷基、芳香族类、烯烃的有机基团。因为R(即烷基、芳香族类、烯烃)与聚合物层间电介质基质是相容的,所以-SiOH基团将倾向于在表面上分离并提供成核位置。随后可以通过利用电子束或O2、N2O、H2等离子体来进行处理以进一步增加表面的Si-OH。
在另一个实施例中,诸如六甲基二硅氧烷、六甲基乙硅烷、三甲基硅烷的硅氧烷-(R2SiO)n-和有机硅烷-(R2Si)n-可以作为活性组分20加到前驱体溶液22中,或者加到所形成的电介质层12上,以在处理过程中形成Si-OH基团。通过使用诸如O2、N2O或H2等离子体处理工艺的等离子体处理工艺来处理/加工所形成的电介质层12(如在后面的部分中详述的),可以很容易地将硅氧烷和有机硅烷转化为-Si-OH基团。
在另一个实施例中,通式为-(R2Si)nX(X=Cl、F、Br)的有机硅卤化物可以作为活性组分20被加到前驱体溶液22中,或者加到所形成的电介质层12上,以在处理过程中形成Si-OH基团。所暴露出的Si-X基团一般通过与湿气的反应被转化为Si-OH。在一个实施例中,可以在高于10-6托的压强下以及在从高于室温到约400℃的温度范围内使用水蒸汽处理来进行反应(R2Si)nX+H2O--->(R2Si)n-OH+HX。在可替换的实施例中,可以通过在25-100℃的温度范围内与pH值大于8的碱性溶液(诸如NH4OH、KOH、NaOH)反应来进行反应(R2Si)nX+H2O--->(R2Si)n-OH+HX。
极性基团的生成或产生不限于使用具有含硅分子的活性组分20。例如,在另一个实施例中,可以将酚基组分作为活性组分20加到前驱体溶液22中,或者加到所形成的电介质层12上,以增强阻挡层成核。酚化合物是指一般具有Ar-OH结构的聚合物,其中Ar-表示芳香族聚合物。在一个示例性实施例中,厚度在约10到100范围的酚聚合物层可以被沉积到低k电介质层12的表面上。
在另一个实施例中,可以在有机聚合物中的侧链增加少量的极性,使得不需要向溶液中加入第二组分。
参照图1和图2B,如在图1中的工艺图100的方框130中所阐述的,在衬底10上形成了具有活性组分20的电介质层12之后,所述方法一般继续下述步骤:即,通过处理/加工所形成的具有活性组分20的电介质层12,以至少在所形成的电介质层12的表面14上产生、生成极性基团或极性位置30或增强极性基团或极性位置30的生成。可以使用下面的处理工艺来处理所形成的电介质层12,所述处理工艺包括:湿法或干法化学处理工艺、等离子体处理工艺、电子束处理工艺或本领域中公知的用于至少在所形成的电介质层12的表面14上产生或增强极性基团30或极性位置30的生成的其他工艺。经过处理的电介质层12A具有增强的成核和/或附着特性或特点,这会有利地使例如阻挡材料层的随后沉积的材料层受益,最终得到改进了的IC器件。
在一个实施例中,可以通过使用湿法化学处理技术来对所形成的具有活性组分20的电介质层12进行处理(图1中的工艺方框130),其中,所形成的电介质层12的表面14利用诸如氢氧化钾(KOH)或氢氧化钠(NaOH)的碱性溶液处理,以增加Si-OH、C-OH或C(O)-OH基团的数量。湿法化学处理技术在本领域中是公知的,并且已经被用于例如聚酰亚胺衬底的金属化。
在第二实施例中,可以通过这样的处理,例如使电介质层12的表面14暴露在处于足够用于增强成核的条件之下的电子束辐射中,来对所形成的具有活性组分20的电介质层12进行处理(图1中的工艺方框130)。形成在衬底10上的电介质层12可以在具有用于对放置在其中的衬底提供电子束辐射的装置的任何沉积/处理室中,利用电子束处理(例如,暴露在电子束中)。通过改变电子束流量和能量,在有机硅氧烷表面上的Si-OH基团30的数量以可控的方式增加。电介质层表面14增强的极性将导致用于随后沉积的金属阻挡层的成核的增加。
在电子束处理(图1中工艺图100的方框130)过程中室的压强可以在从约10毫托到约50托的范围内变化。在电子束处理过程中室的温度可以在从约35℃到约450℃的范围内变化,优选约400℃。电子束曝光的持续时间将取决于施加在衬底上的束剂量的强度以及束电流密度。本领域的普通技术人员可以很容易地优化曝光条件以得到所要求的结果,但是一般地曝光将在约1分钟到约120分钟之间的范围内,并且电子束剂量优选为从约10到约500微库仑每平方厘米(μC/cm2),更优选地从约20到约300μC/cm2。电子束的加速电压可以在从约0.5KeV到约20KeV的范围内变化,优选约为3KeV。所选择的剂量和加速电压将分别与所期望的表面修饰的程度和深度成比例。在一个示例性实施例中,在约400℃的温度下使用约20μC/cm2的电子束剂量,在室中对具有其上形成有活性组分20的掺碳氧化物(CDO)的衬底10进行处理,其中,电子束的加速电压约3KeV。
在第三实施例中,可以使用本领域中公知的例如O2、N2O或H2等离子体处理的等离子体处理来对所形成的具有活性组分20的电介质层12进行处理(图1中的工艺方框130),所述电介质层12例如为低k聚合物电介质层。O2和N2O等离子体可以置换低k电介质层表面14上的C-H或Si-H键并产生Si-OH和C-OH基团30,这对于使用ALD技术沉积诸如TiN阻挡的金属化合物或金属非常关键。在掺碳氧化物(CDO)电介质层表面上所进行的使用基于H2等离子体的活性预清洗工艺(active pre-cleanprocess),通过去除憎水性基团(如甲基基团)增加表面上的Si-OH基团。等离子体处理可以在例如用于沉积薄电介质层12的同一个室中原位进行,或者可以在与沉积电介质层12所用的装置不同的系统或设备中进行。在一个示例性的等离子体处理工艺中,可以在从约-25℃到约425℃范围内的温度下、在约0.1到20托的压强下、在O2、N2或N2O的流量为约100到2,000标准升每分(SLM)、功率为约10到3000瓦、频率范围为约350KHz到2.45GHz的条件下,进行等离子体处理。
现在参照图1和图2C,如在图1中工艺图100的方框140中所阐述的,在电介质层处理之后,所述方法继续下述步骤:即,在处理后的电介质层12A上形成另一个材料层15(例如阻挡材料层15),其中,所述处理后的电介质层12A至少在其表面14上具有极性基团/极性位置30(例如Si-OH或C-OH基团30)。一般通过使用适当的CVD或ALD工艺或任何在本领域中所公知的沉积工艺来在电介质层12A上方形成另一个材料层15(例如阻挡材料层15)。
一般地,ALD工艺通过在处理后的电介质层12A的沉积表面14上的化学吸附(chemisorption)来实施。ALD技术基于下面这个原理,即,通过化学吸附形成反应前驱体分子的饱和单层。在实施例中,通过向ALD沉积室之中处理后的电介质层12A上方交替引入(例如脉冲式引入)前驱体,以形成阻挡材料层15,所述ALD沉积室被保持在约150℃到400℃的温度范围内并且优选约350℃以及约1到5托的压强并且优选为1托。前驱体的每一次例如注入脉冲(injection pulse)的引入都被例如氮气(N2)排气的惰性气体排气分隔开。每一次前驱体注入都提供了附加到前面所沉积层的新的原子层,以形成一层均匀的固体膜。重复此循环,直到达到期望的阻挡层/膜15的厚度为止。
在一个示例性的阻挡材料ALD工艺中,通过在处理后的电介质层12A上交替地沉积TDMAT(四(二甲基氨基)钛)和NH3前驱体,来在处理后的电介质层12A上形成氮化钛(TiN)层15。ALD TiN层15的沉积速率约为10每分钟。在实施例中,ALD工艺的温度和压强分别为约380℃和1托。本领域的普通技术人员可以容易地修改上述处理条件以得到所要求的结果。所形成的TiN层15的厚度16可以根据所制造的集成电路(IC)类型、处理的优先选择等而变化,但是一般地,厚度16可以在从约50埃()到约1,000的范围内变化,并且优选为约10-100。
继续参照图1,接下来,所述方法继续进行完成IC制造所需的任何剩余的处理步骤(图1中工艺图100的方框150)。这些步骤可以包括但不限于对另外形成的导电或绝缘材料层的形成、图案化、退火等,这些步骤在本领域中是公知的。
现在转到图3和图4A到4D,在图3中示出了根据本发明的工艺实施例,该工艺用于增强在铜镶嵌结构的低k电介质层上沉积的诸如阻挡层的膜/层的成核和附着,而图4A到4D是图示根据图3中示出的工艺实施例所处理的衬底的横截面示意图。
参照图3和图4A,在本发明的一个实施例中,向沉积室中提供下面这种示例性半导体衬底结构40(图2中工艺图300的方框310),该结构40在其表面41上具有在先被沉积的绝缘电介质层55和例如为金属化结构或金属线47的导电通路47。金属层47代表在多个金属层的半导体器件中的若干金属层中的一个,金属层47可以由铜金属、铜合金或在金属互连领域中使用的任何其他的材料制成。电介质层55可以是低k电介质材料层、高k电介质材料层或它们的组合。电介质层55在其顶部或其上表面上可以具有诸如氮化硅或碳化硅层的刻蚀终止层/扩散阻挡层(未示出)。适合于本发明的衬底材料包括但不限于低k电介质材料,诸如低k聚合物电介质或含有机物的二氧化硅或掺碳氧化物(CDO)电介质。诸如硅和含硅复合物的其他衬底材料,以及在IC制造领域中公知的其他衬底材料也在本发明的范围内。
如上所讨论的,虽然在这个实施例中衬底40在其上具有金属化结构47,但是应该注意当实施本发明时,晶片/衬底在其表面上可以具有诸如电路图案的金属化结构,也可以不具有这种结构。
参照图3和图4B,根据本发明的实施例,在沉积室中放置有衬底40,在衬底40、电介质层55和金属化结构47上方形成其中具有活性组分20的电介质层42(图3中工艺图300的方框320)。活性组分20可以包括在所形成的电介质层42上产生极性基团或极性位置或增强极性基团或极性位置的生成的任何组分。如上所讨论的,活性组分20可以被加到用于形成电介质层42的前驱体溶液中。然后,通过CVD工艺、ALD工艺或其他本领域中公知的适当的沉积工艺,将含有活性组分20的前驱体溶液沉积到衬底40上。或者,活性组分20可以被沉积到所形成的电介质层42上,因此不被加到用于形成电介质层42的前驱体基质中。
在本发明的一个实施例中,活性组分20可以包括诸如三甲基硅烷醇、三苯基硅烷醇等的有机硅烷醇和硅烷醇。有机硅烷醇和硅烷醇的有机部分提供了在有机聚合物或有机掺杂氧化物之中的必要的溶解度,而极性Si-OH键将朝着表面取向以帮助或增强随后沉积的材料层的成核,包括例如阻挡材料层的成核。在另一个实施例中,诸如六甲基二硅氧烷、六甲基乙硅烷、三甲基硅烷的硅氧烷和有机硅烷,可以作为活性组分20被加到前驱体溶液以在处理过程中形成Si-OH基团。在另一个实施例中,通式为-(R2Si)nX(X=Cl、F、Br)的有机硅卤化物可以作为活性组分20被加到前驱体溶液中以在处理过程中形成Si-OH基团。在另一个实施例中,可以将酚基组分作为活性组分20加入到前驱体溶液中以增强阻挡层成核。在另一个实施例中,可以在有机聚合物中的侧链增加少量的极性,使得不需要向溶液中加入第二组分。
使用如前面所讨论的用于形成电介质层12的适当的CVD或ALD技术在衬底40上方沉积电介质层42。可以由诸如低k聚合物电介质或者含有机物的二氧化硅或掺碳氧化物电介质之类的低k电介质材料形成电介质层42。如上所述,对于可替换的IC器件实施例,电介质层42可以由诸如氧化铝(Al2O3)、五氧化二钽(Ta2O5)、五氧化二铪(Hf2O5)等的高k栅极电介质材料形成。在另一个实施例中,电介质层42可以由诸如Ta2O5、钛酸钡锶(BaSrTiO3或BST)等的高k电容器电介质材料形成。所形成的金属间电介质层/膜42的厚度43可以根据所制造的集成电路(IC)的类型、处理优先选择等而变化,但是一般地厚度43可以在约500埃()到约50,000的范围内变化,优选在约2,000到20,000的范围内。
应该理解,结构40只是存在于半导体晶片上的许多结构中的一部分。在图4B中示出的实施例中,使用诸如刻蚀的公知技术对结构40中尤其是在所形成的电介质层42中图案化沟槽49A和49B。还在电介质层42中在沟槽49B之下图案化通孔开口(via opening)48,以用于到下金属层47的互连。可以利用本领域中公知的单或双镶嵌工艺来制造结构40或类似的结构。
参照图3和图4C,如在图3中工艺图300的方框330中所阐述的,在衬底40上形成并图案化具有活性组分20的电介质层42之后,所述方法一般继续如下步骤:即,通过处理所形成的具有活性组分20的电介质层42以至少在所形成的电介质层42的表面44上产生、生成极性基团或极性位置30或增强极性基团或极性位置30的生成。可以使用上面详细讨论的等离子体处理工艺、湿法或干化学处理工艺或电子束处理工艺来处理所形成的电介质层42。经过处理的电介质层42A具有增强的成核和/或附着特性或特点,这会有利地使例如阻挡材料层的随后沉积的材料层受益,最终得到改进了的IC器件。
现在参照图3和图4D,在一个示例性双镶嵌工艺中,如在图3中工艺图300的方框340中提到的一样,在电介质层处理之后,所述方法继续下面的步骤:即,在具有例如Si-OH或C-OH基团30的极性基团/极性位置30的处理后的电介质层42A的上方,至少在处理后的电介质层42A的表面44上形成另一个材料层45(例如阻挡材料层45)。阻挡层45不仅在处理后的电介质层42A的上方形成,而且也在沟槽开口49A、49B和通孔开口48中形成,使得阻挡层45覆盖在ILD层42上面并且成为沟槽49A、49B和通孔48的内衬(如在图4D中示出的)。诸如阻挡层45的阻挡层一般与金属互连材料一起使用,以优化金属互连的性能并防止金属互连材料扩散到衬底之中。
阻挡层45可以由钽(Ta)、氮化钽(TaN)、钛(Ti)、氮化钛(TiN)、氮化钨(WN)、钽化钨(WTa)、氮化钽硅或其它三元化合物构成。但是,应该理解的是,在本发明的实施中可以使用其它的材料用于阻挡层45。通过诸如上面详细讨论的CVD和ALD之类的公知的膜沉积技术来形成阻挡层45。还可以使用物理气相沉积、电解电镀或无电镀技术。
在一个示例性的阻挡材料ALD工艺中,通过在处理后的电介质层42A上交替地沉积TDMAT(四(二甲基氨基)钛)和NH3前驱体,来在处理后的电介质层42A上形成氮化钛(TiN)层45。ALD TiN层45的沉积速率约为10每分钟。在实施例中,ALD工艺温度和压强分别为约380℃和1托。本领域的普通技术人员可以容易地修改上述处理条件以得到所要求的结果。所形成的TiN层45的厚度46可以根据所制造的集成电路(IC)类型或处理优先选择等而变化,但是一般地,厚度46可以在从约50到约1,000的范围内变化,并且优选为约10到100。
参照图3和图4E,如在图3中工艺图300的方框350中所阐述的,接下来,示例性的双镶嵌金属化工艺继续进行剩余的制造部分。该工艺一般可以包括:在覆盖于处理后的ILD层42A上面并且成为沟槽49A、49B和通孔48的内衬的阻挡层45上,形成诸如铜晶种层50的金属晶种层50。可以使用金属、金属合金、金属化合物、多层金属堆叠或任何衬底来沉积或形成金属晶种层50,其中将被用于形成沟槽和通孔中的互连的金属可以在晶种层上成核和生长。一般地,金属晶种层50由金属或金属合金形成,并且可以包括但不限于铜、铜合金、镍、银、金和钴。
可以使用定向沉积技术来沉积/形成金属晶种层50。本领域中公知的定向沉积技术包括:准直溅镀(collimated sputtering)、等离子体增强化学气相沉积以及使用偏压或不使用偏压的离子化物理气相沉积。一般地,晶种层50被沉积到约1000-3000的范围内的厚度。在实施例中,晶种层50被沉积到约2000的厚度。
继续参照图3和图4E,所述工艺继续如下步骤:在衬底40上进行导电材料的电解电镀/无电镀。但是,在导电材料的电解电镀/无电镀之前,一般对金属晶种层50进行物理和化学的处理以在衬底40上形成钝化层(未示出)。然后,对具有形成在阻挡层50上方的钝化层(未示出)的衬底40进行热退火,以去除钝化层和在衬底表面上积聚的任何其它污染物。可以使用诸如酸、碱、溶剂和去离子水的液体介质来原位或异位进行金属晶种层50的钝化,或者可以使用诸如氩(Ar)、氦(He)、氧气(O2)、氢气(H2)、H2和He、H2和氮气(N2)、H2和Ar等的化学活性或惰性气体在本领域中公知的温度和浓度范围下来进行钝化。一般地,通过在约250℃的温度下向晶种退火室或类似的装置中通入包含95%N2和5%H2的合成气体流约30秒,并然后在约15到20℃的温度下在合成气体中冷却衬底约25秒,来对其上具有钝化层(未示出)的金属晶种层50进行退火。在一个实施例中,以约19标准升每分(slm)的流速向退火室中提供N2,而以约1slm的流速向退火室中提供H2
在金属晶种退火步骤之后,所述工艺继续对衬底结构40进行无电镀或电解电镀,以在衬底结构40的覆盖层53、沟槽49A、49B和通孔48中沉积诸如金属或合金的导电材料52(图3中工艺图300的方框350)。所沉积的导电材料将形成用来与下金属层47互连的金属互连54。可以用于形成金属互连54的无电镀和电解电镀工艺是本领域中公知的。电解电镀包括从电解液中通过阴极还原的金属沉积。无电镀包括从电解液中通过化学还原的金属沉积。电解液中的还原剂(例如Red)是电子的来源。可以使用硫酸铜溶液(产生铜覆镀)、硝酸银溶液(产生银覆镀)或氰化金溶液(产生金覆镀)将导电材料电解或无电沉积到衬底结构40的覆盖层53、沟槽49A、49B和通孔48之中,以形成金属互连。
作为示例,在无电镀铜工艺中,通过诸如将衬底结构/晶片40浸入镀槽中,或者通过将镀液喷涂到衬底结构/晶片40上之类的方式,使衬底结构/晶片40暴露在第一镀液之中。第一镀液是诸如氢氟酸或硫酸之类的酸和金属盐或络合物的水溶液,所述金属盐或络合物可以溶解在所使用的酸中。在溶液中的例如二价铜离子(Cu2+)的金属离子和还原剂之间发生氧化还原反应,导致金属离子的还原以及随后在铜晶种层50上的覆镀。一般地反应在室温下进行一段时间,直到形成导电互连/级(level)。如果需要的话可以调节时间和温度以影响反应的速率,这在本领域中是公知的。
在衬底结构40的无电镀或电解电镀之后,可以进行化学机械抛光(CMP)工艺或化学刻蚀去除工艺。CMP或化学刻蚀去除将多余的金属(例如ILD层42A上方的铜、金属晶种材料和阻挡层材料)抛光掉或去除掉,使得所留下的铜和阻挡层材料都只位于沟槽49A、49B和通孔48中。
已经描述了一种用于增强在低介电常数电介质材料层(诸如阻挡层)上的CVD和ALD沉积的膜/层的成核和附着的方法。虽然已经描述了具体的实施例,包括具体的参数、方法和材料,但是对于本领域的技术人员来说,一看到本公开就可以很清楚地想到各种对所公开的实施例的修改。因此,应该理解这些实施例仅仅是说明性的,而不是对本发明范围的限制,并且本发明不限于所示出和描述的具体的实施例。

Claims (23)

1.一种方法,包括:
在衬底上方形成含有有机材料的电介质层,所述电介质层包括活性组分,所述活性组分具有有机部分;
处理所形成的具有活性组分的电介质层,以产生优先地朝向所述电介质层表面的极性基团或极性位置,所述极性基团或极性位置用于增强沿着所述电介质层表面的成核和附着特性以沉积另一个材料层;以及
在所述电介质层表面上沉积另一个材料层。
2.如权利要求1所述的方法,其中,形成所述电介质层的操作包括使用化学气相沉积工艺或原子层沉积工艺来沉积其中具有所述活性组分的电介质材料。
3.如权利要求1所述的方法,其中,形成所述电介质层的操作包括当使用化学气相沉积或原子层沉积形成所述电介质层时,加入前驱体溶液以引入所述活性组分。
4.如权利要求1所述的方法,其中,处理所述电介质层的操作包括通过湿法化学处理、干法化学处理、等离子体处理或电子束处理来处理所述电介质层。
5.如权利要求1所述的方法,其中,所述活性组分从由硅烷醇、有机硅卤化物、硅氧烷、有机硅烷和酚所组成的组中选择。
6.如权利要求1所述的方法,其中,所述活性组分从由三甲基硅烷醇、三苯基硅烷醇、六甲基二硅氧烷、六甲基乙硅烷和三甲基硅烷所组成的组中选择。
7.如权利要求1所述的方法,其中,所述电介质层包括从由具有低介电常数的有机聚合物电介质材料、有机掺杂的氧化物电介质材料和高介电常数电介质材料所组成的组中选择出来的材料。
8.如权利要求1所述的方法,其中,处理所述电介质层的操作包括使用利用碱性溶液的湿法化学处理,所述碱性溶液从由氢氧化钾和氢氧化钠所组成的组中选择。
9.如权利要求1所述的方法,其中,处理所述电介质层的操作包括使用电子束处理,所述电子束处理在35℃到450℃的温度范围内使电介质层的所述表面暴露在10-500微库仑每平方厘米的电子束辐射剂量下,所述电子束具有在0.5KeV到20KeV范围内的电子束加速电压。
10.如权利要求1所述的方法,其中,处理所述电介质层的操作包括使用在如下条件下进行的等离子体处理,所述条件即处在以100-2000标准升每分的流速流进所述室中的氧气、氮气或一氧化二氮的环境中,温度在从-25℃到425℃的范围内,压强为0.1托到20托,功率为从100瓦到3000瓦,频率在350KHz到2.45GHz的范围内。
11.如权利要求1所述的方法,其中,沉积所述另一个材料层的操作沉积出阻挡层。
12.如权利要求1所述的方法,其中,形成所述电介质层的操作包括沉积电介质材料,所述电介质材料从由有机聚合物电介质、有机掺杂的氧化物电介质所组成的组中选出。
13.如权利要求1所述的方法,其中,沉积所述另一个材料层的操作使用化学气相沉积或原子层沉积沉积出阻挡层。
14.一种方法,包括:
在衬底上方形成其中含有有机材料的低介电常数电介质层,其中所述低介电常数电介质层具有含有机部分的活性组分;
处理所述低介电常数电介质层中的活性组分,以产生优先地朝向所述低介电常数电介质层表面的极性基团或极性位置,所述极性基团或极性位置用于增强沿着所述低介电常数电介质层的表面的成核和附着特性以沉积金属材料的上覆阻挡层;以及
在所述低介电常数电介质层的表面上沉积上覆阻挡层。
15.如权利要求14所述的方法,其中,所述活性组分从由硅烷醇、有机硅卤化物、硅氧烷、有机硅烷和酚所组成的组中选择。
16.如权利要求14所述的方法,其中,所述低介电常数电介质层包括从由具有低介电常数的有机聚合物电介质材料、有机掺杂的氧化物电介质材料所组成的组中选择出来的材料。
17.一种集成电路,包括:
衬底;
在所述衬底上方形成的含有有机材料的电介质层,所述电介质层包括具有有机部分的活性组分,其中当使用从湿法化学处理、干法化学处理、等离子体处理和电子束处理所组成的组中选出的工艺来处理所形成的电介质层时,所述活性组分产生优先地朝向所述电介质层的表面的极性基团或极性位置,其中,所述极性基团或极性位置增强沿着所述电介质层的所述表面的成核和附着特性;和
沉积在所述电介质层上方的另一个材料层。
18.如权利要求17所述的集成电路,其中,所述活性组分从由硅烷醇、有机硅卤化物、硅氧烷、有机硅烷和酚所组成的组中选择。
19.如权利要求17所述的集成电路,其中,所述电介质层由电介质材料形成,所述电介质材料从由有机聚合物电介质、有机掺杂的氧化物电介质所组成的组中选出。
20.如权利要求17所述的集成电路,其中,至少在所形成的电介质层的表面上所产生的极性基团或极性位置增强了随后沉积的材料层的成核或附着特性或特点。
21.如权利要求17所述的集成电路,其中,所述衬底包括在其上所形成的金属化结构。
22.如权利要求17所述的集成电路,其中,使用从由化学气相沉积、原子层沉积或物理气相沉积所组成的组中选出的工艺来形成所述电介质层。
23.如权利要求17所述的集成电路,其中,所述电介质层的厚度在500埃到50000埃的范围内。
CNB028132599A 2001-09-29 2002-09-26 在低介电常数电介质上沉积化学气相沉积膜和原子层沉积膜的方法 Expired - Fee Related CN1324162C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/968,212 US6605549B2 (en) 2001-09-29 2001-09-29 Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US09/968,212 2001-09-29

Publications (2)

Publication Number Publication Date
CN1522313A CN1522313A (zh) 2004-08-18
CN1324162C true CN1324162C (zh) 2007-07-04

Family

ID=25513915

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028132599A Expired - Fee Related CN1324162C (zh) 2001-09-29 2002-09-26 在低介电常数电介质上沉积化学气相沉积膜和原子层沉积膜的方法

Country Status (7)

Country Link
US (2) US6605549B2 (zh)
EP (1) EP1432842A2 (zh)
CN (1) CN1324162C (zh)
AU (1) AU2002340047A1 (zh)
MY (1) MY124862A (zh)
TW (1) TW575930B (zh)
WO (1) WO2003029514A2 (zh)

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US7381642B2 (en) * 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US7998537B2 (en) * 2002-03-01 2011-08-16 The Chinese University Of Hong Kong Method for selectively removing hydrogen from molecules
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
US6664185B1 (en) * 2002-04-25 2003-12-16 Advanced Micro Devices, Inc. Self-aligned barrier formed with an alloy having at least two dopant elements for minimized resistance of interconnect
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US6620741B1 (en) * 2002-06-10 2003-09-16 Intel Corporation Method for controlling etch bias of carbon doped oxide films
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US20040105244A1 (en) * 2002-08-06 2004-06-03 Ilyas Mohammed Lead assemblies with offset portions and microelectronic assemblies with leads having offset portions
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6878641B2 (en) * 2002-10-01 2005-04-12 Advanced Technology Materials, Inc. Composition and chemical vapor deposition method for forming organic low k dielectric films
US6723581B1 (en) * 2002-10-21 2004-04-20 Agere Systems Inc. Semiconductor device having a high-K gate dielectric and method of manufacture thereof
US6867126B1 (en) * 2002-11-07 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method to increase cracking threshold for low-k materials
CN1720490B (zh) 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6831363B2 (en) * 2002-12-12 2004-12-14 International Business Machines Corporation Structure and method for reducing thermo-mechanical stress in stacked vias
US6890813B2 (en) * 2003-01-06 2005-05-10 Intel Corporation Polymer film metalization
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6716707B1 (en) * 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
EP1629543B1 (en) * 2003-05-16 2013-08-07 E.I. Du Pont De Nemours And Company Barrier films for flexible polymer substrates fabricated by atomic layer deposition
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
WO2005017963A2 (en) * 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
DE20321548U1 (de) * 2003-09-19 2007-11-29 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine
US7018469B2 (en) * 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US20050087517A1 (en) * 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7271103B2 (en) * 2003-10-17 2007-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treated low-k dielectric as diffusion barrier for copper metallization
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7060638B2 (en) 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
FR2868085B1 (fr) * 2004-03-24 2006-07-14 Alchimer Sa Procede de revetement selectif d'une surface composite, fabrication d'interconnexions en microelectronique utilisant ce procede, et circuits integres
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7041596B1 (en) 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
DE102004037089A1 (de) * 2004-07-30 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7163900B2 (en) * 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7659206B2 (en) * 2005-01-18 2010-02-09 Applied Materials, Inc. Removal of silicon oxycarbide from substrates
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
KR20070108918A (ko) * 2005-02-22 2007-11-13 에이에스엠 아메리카, 인코포레이티드 원자층 증착을 위한 표면의 플라즈마 전처리
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
CN100461343C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用预处理的材料原子层沉积的方法
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
KR100661217B1 (ko) * 2005-12-29 2006-12-22 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
WO2007106788A2 (en) 2006-03-10 2007-09-20 Advanced Technology Materials, Inc. Precursor compositions for atomic layer deposition and chemical vapor deposition of titanate, lanthanate, and tantalate dielectric films
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
JP2010506408A (ja) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8154121B2 (en) * 2008-02-26 2012-04-10 Intel Corporation Polymer interlayer dielectric and passivation materials for a microelectronic device
JP2010171359A (ja) * 2008-04-07 2010-08-05 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
SG174423A1 (en) * 2009-03-17 2011-10-28 Advanced Tech Materials Method and composition for depositing ruthenium with assistive metal species
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US8357599B2 (en) * 2011-02-10 2013-01-22 Applied Materials, Inc. Seed layer passivation
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
CN105263704A (zh) * 2013-03-27 2016-01-20 凸版印刷株式会社 层积体及阻气膜
US9245793B2 (en) * 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition
US9515166B2 (en) * 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US10072335B2 (en) * 2014-08-29 2018-09-11 University Of Maryland, College Park Method of coating of object
US11648546B2 (en) * 2015-01-29 2023-05-16 Uchicago Argonne, Llc Artificial zeolites
US9735051B2 (en) 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
US10109476B2 (en) * 2016-07-01 2018-10-23 Lam Research Corporation Substrate processing method for depositing a barrier layer to prevent photoresist poisoning
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
WO2019182916A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
WO2020072314A2 (en) 2018-09-27 2020-04-09 Alliance For Sustainable Energy, Llc Optoelectronic devices and methods of making the same
US11691175B1 (en) 2022-07-18 2023-07-04 Tokyo Electron Limited Methods for area-selective deposition of polymer films using sequentially pulsed initiated chemical vapor deposition (spiCVD)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6133163A (en) * 1994-07-29 2000-10-17 Texas Instruments Incorporated Method for forming a semiconductor multilayer interconnect device using SOG and polyimide
EP1077480A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Method and apparatus to enchance properties of Si-O-C low K films
US6265303B1 (en) * 1997-05-28 2001-07-24 Texas Instruments Incorporated Integrated circuit dielectric and method

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03265840A (ja) * 1990-03-15 1991-11-26 Fuji Photo Film Co Ltd 写真焼付装置の光源光量制御方法
US5414221A (en) 1991-12-31 1995-05-09 Intel Corporation Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
CN1118520A (zh) 1994-04-15 1996-03-13 松下电器产业株式会社 多层金属布线的形成方法
CA2203024A1 (en) 1994-10-18 1996-04-25 Heinrich Meyer Process for plating metal coatings
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
US5919160A (en) * 1996-10-10 1999-07-06 Sanfilippo, Ii; Dominic Joseph Vascular access device and method of installing same
US6022815A (en) 1996-12-31 2000-02-08 Intel Corporation Method of fabricating next-to-minimum-size transistor gate using mask-edge gate definition technique
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
EP0881678A3 (en) 1997-05-28 2000-12-13 Texas Instruments Incorporated Improvements in or relating to porous dielectric structures
US6121159A (en) 1997-06-19 2000-09-19 Lsi Logic Corporation Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines
US5869149A (en) 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6143674A (en) * 1997-09-29 2000-11-07 Nisshinbo Industries, Ltd. Electromagnetic radiation shield material and panel and methods of producing the same
US6043551A (en) 1997-09-30 2000-03-28 Intel Corporation Metal locking structures to prevent a passivation layer from delaminating
US6020458A (en) 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
KR100252049B1 (ko) 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6054376A (en) 1997-12-31 2000-04-25 Intel Corporation Method of sealing a semiconductor substrate
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3305251B2 (ja) 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
EP1067956B1 (en) * 1998-04-03 2007-03-14 University Of Iowa Research Foundation Methods and products for stimulating the immune system using immunotherapeutic oligonucleotides and cytokines
US6226171B1 (en) 1998-04-27 2001-05-01 Fujitsu Limited Power conducting substrates with high-yield integrated substrate capacitor
US6265243B1 (en) 1999-03-29 2001-07-24 Lucent Technologies Inc. Process for fabricating organic circuits
US6204204B1 (en) 1999-04-01 2001-03-20 Cvc Products, Inc. Method and apparatus for depositing tantalum-based thin films with organmetallic precursor
US6218317B1 (en) 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
US6242550B1 (en) * 1999-05-07 2001-06-05 The Goodyear Tire & Rubber Company Polymeric dimethyl- dicyclopentadiene/limonene resin
US6097095A (en) 1999-06-09 2000-08-01 Alliedsignal Inc. Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6153512A (en) * 1999-10-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Process to improve adhesion of HSQ to underlying materials

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6133163A (en) * 1994-07-29 2000-10-17 Texas Instruments Incorporated Method for forming a semiconductor multilayer interconnect device using SOG and polyimide
US6265303B1 (en) * 1997-05-28 2001-07-24 Texas Instruments Incorporated Integrated circuit dielectric and method
EP1077480A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Method and apparatus to enchance properties of Si-O-C low K films

Also Published As

Publication number Publication date
TW575930B (en) 2004-02-11
AU2002340047A1 (en) 2003-04-14
WO2003029514A2 (en) 2003-04-10
CN1522313A (zh) 2004-08-18
EP1432842A2 (en) 2004-06-30
US20030064607A1 (en) 2003-04-03
WO2003029514A3 (en) 2003-10-16
US6605549B2 (en) 2003-08-12
US20030205823A1 (en) 2003-11-06
MY124862A (en) 2006-07-31

Similar Documents

Publication Publication Date Title
CN1324162C (zh) 在低介电常数电介质上沉积化学气相沉积膜和原子层沉积膜的方法
US7119418B2 (en) Supercritical fluid-assisted deposition of materials on semiconductor substrates
KR100743775B1 (ko) 확산을 감소시키도록 낮은 유전상수 k의 유전층을 처리하기 위한 방법 및 장치
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP5031987B2 (ja) 耐酸化性が良好な次世代ダマシンバリヤ適用のための二層膜
JP3515074B2 (ja) 低κ誘電性無機/有機ハイブリッドフィルム及びその製造方法
US20010000415A1 (en) Method of processing films prior to chemical vapor deposition using electron beam processing
JP2003523624A (ja) Cvd蒸着膜の電子ビーム改質による低誘電率材料の形成
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
US7041596B1 (en) Surface treatment using iodine plasma to improve metal deposition
JP4242648B2 (ja) 金属イオン拡散バリア層
EP0221156B1 (en) Method of making a device comprising a patterned aluminum layer
US5202152A (en) Synthesis of titanium nitride films
EP1180553A1 (en) CVD process for depositing copper on a barrier layer
US6624074B1 (en) Method of fabricating a semiconductor device by calcium doping a copper surface using a chemical solution
TW515044B (en) Method for forming metal line of semiconductor device
JP2006024668A (ja) 半導体装置の製造方法
CN100505223C (zh) 微电子互连结构体中的多层覆盖阻隔层
TW202319392A (zh) 表面處理劑、表面處理方法及基板表面之區域選擇性製膜方法
JP2006024667A (ja) 半導体装置の製造方法
JP2006147895A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070704

Termination date: 20091026