CN1289149A - 用于场效应器件的高速复合p沟道Si/SiGe异质结构 - Google Patents

用于场效应器件的高速复合p沟道Si/SiGe异质结构 Download PDF

Info

Publication number
CN1289149A
CN1289149A CN00103679.3A CN00103679A CN1289149A CN 1289149 A CN1289149 A CN 1289149A CN 00103679 A CN00103679 A CN 00103679A CN 1289149 A CN1289149 A CN 1289149A
Authority
CN
China
Prior art keywords
layer
hierarchy
extension
ground floor
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00103679.3A
Other languages
English (en)
Other versions
CN1184695C (zh
Inventor
杰克·乌·楚
理查德·哈蒙德
卡齐里德·伊奇迪·伊斯梅尔
史蒂文·约翰·凯斯特
帕特丽夏·梅·穆尼
约翰·A·奥特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1289149A publication Critical patent/CN1289149A/zh
Application granted granted Critical
Publication of CN1184695C publication Critical patent/CN1184695C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility

Abstract

本发明公开了一种形式p沟道场效应晶体管的方法和分层异质结构,该结构包括在半导体衬底上的多个半导体层,第一外延Ge层和第二压应变SiGe层构成的复合沟道结构,该结构有较高的势垒或较深的限制量子阱,因而有极高的空穴迁移率。本发明克服了只用单个压应变SiGe沟道层的p沟道器件中空穴迁移率受限的问题。

Description

用于场效应器件的高速 复合p沟道Si/SiGe异质结构
本发明涉及硅和硅锗基材料系,更具体说涉及一种新颖的外延场效应晶体管结构,该结构能够应用于高速低噪声、微波、亚毫米波和毫米波应用。这种外延场效应晶体管结构较好是包括引入硅、锗和硅锗层形成调制掺杂异质结构的高性能应变p沟道。
在高速和低噪声器件应用中,焦点集中在高电子迁移率晶体管(HEMT)或调制掺杂场效应晶体管(MODFET)的设计和制造,这些晶体管中,在不掺杂的沟道层发生载流子(例如电子,空穴)传导,因而杂质散射不会限制载流子迁移率,可以实现高载流子迁移率。一般情况下,这些高速度电子器件经常用作在微波和rf区工作的低噪声放大器、功率放大器、卫星接收和发射器等,选择的材料通常是较快但更昂贵的III-V族(例如GaAs)材料系统和技术。半导体工业中并不十分希望复杂且高成本的III-V族材料技术,而是更需要与目前的Si技术充分兼容的较便宜的SiGe材料系,更容易与现有的Si-CMOS器件技术集成。
美国专利5019882中记载了与Si技术兼容的材料系的一个例子,该专利于1991年5月28日授予P.M.Solomon,题为“锗沟道硅MOSFET”,该专利现已转让给本申请的受让人。在美国专利5019882中,具有提高的载流子迁移率的沟道包括生长在硅衬底上的硅锗合金层。该合金层保持足够薄以用于没有假晶位错的合适生长。在合金层上形成一层硅,并局部氧化之形成介质层。在二氧化硅上形成栅区。
美国专利5534713中介绍了与Si技术兼容的高性能SiGe器件结构的第二实例,该专利于1996年7月9日授予K.E.Ismail,题为“采用应变Si/SiGe异质结构层的互补金属氧化物半导体晶体管逻辑”,该专利现已转让给本申请的受让人。在美国专利5534713中,介绍了一种硅CMOS晶体管结构,它采用了制造于应变的Si/SiGe异质设计上的压应变下的掩埋SiGe沟道和张应变下的掩埋Si沟道,对于p沟道器件来说掩埋SiGe沟道具有提高的空穴电子迁移率,对于n沟道器件来说掩埋Si沟道具有提高的电子迁移率。另外,在美国专利5534713中,记载了一种所提出的用作p沟道场效应晶体管的p沟道的压应变SiGe层,锗组分的范围为50-100%,较好是80%。到目前为止,IBM公司的利用这种沟道设计和组分的典型SiGe的p沟道MODFET已实现了室温下高达1000cm2/Vs的空穴迁移率,因此,为了实现高于1000cm2/Vs的更高空穴迁移率,提出了一种具有一种由Ge层(15-20埃厚)与Ge占70-80%的SiGe层(70-100埃厚)的复合或双分层结构的p沟道设计,作为最佳p沟道结构设计,从而在SiGe材料系中产生较高的空穴迁移率。
根据本发明,介绍一种用于利用p沟道区中基本上为纯Ge和SiGe层构成的复合或双分层结构的P型场效应晶体管的硅和硅锗基外延结构,用于形成p沟道器件,这种器件包括:半导体衬底;外延形成于衬底上的弛豫Si1-xGex第一层,其中Ge组分x为0.35-0.5;外延形成于第一层上的P掺杂Si1-xGex第二层;外延形成于第二层上的不掺杂Si第三层,使该Si层处于张应变下,保持与第一弛豫Si1-xGex层的上部相当;形成于第三层上的不掺杂Si1-xGex第四层;外延形成于第四层上的不掺杂Ge第五层,使该Ge层处于压应变下,保持与第一弛豫Si1-wGew层的上部相当;外延形成于第五层上的不掺杂Si1-yGey第六层,其中Ge比值w为0.5到小于1.00,w-x>0.2,从而Si1-wGew层处于压应变下;外延形成于第五层上的不掺杂Si1-xGex第七层。可以在第七层上形成单独形成肖特基势垒的金属层或介质和金属层并构图,从而形成p沟道场效应晶体管的栅,同时通过在栅的两侧按分层结构形成P区,形成漏和源区。这种分层结构设计形成了调制掺杂异质结构,从而供应层或第二P掺杂的Si1-xGex层位于五和六层的有源复合沟道下。另外,在该层状器件结构中,隔开有源沟道和供应层的间隔层采用包括不掺杂的Si第三层和不掺杂的Si1-xGex第四层的双分层结构。
本发明还提供一种其沟道中空穴迁移率提高的p沟道场效应晶体管及其形成方法,该晶体管包括:半导体衬底;外延形成于衬底上的弛豫Si1-xGex第一层,其中x为0.35-0.5;外延形成于第一层上的P掺杂Si1-xGex第二层;外延形成于第二层上的不掺杂Si1-xGex第三层;外延形成于第三层上的不掺杂的Ge第四层,使该Ge层与第一弛豫Si1-wGew层的上部相当;外延形成于第四层上的不掺杂Si1-yGey第五层,使该Ge比值w为0.5到小于1.00,第五Si1-wGew层处于压应变下;外延形成于第五层上的不掺杂Si1-xGex第六层。这种分层结构设计描述了一种调制掺杂异质结构,从而使供应层或P掺杂的Si1-xGex第二层通过Si或Si1-xGex单间隔第三层设计,与第四和第五层的有源复合沟道隔离。
本发明还提供一种其沟道中空穴迁移率提高的p沟道场效应晶体管及其形成方法,该晶体管包括:半导体衬底;外延形成于衬底上的弛豫Si1-xGex第一层,其中x为0.35-0.5;外延形成于第一层上部上的不掺杂Ge第二层,使该Ge层与第一弛豫Si1-xGex层的上部相当;外延形成于第二层上的不掺杂Si1-wGew第三层,其中Ge组分比w为0.5到小于1.00,第三Si1-wGew层处于压应变下;外延形成于第三层上的不掺杂的Si1-xGex第四层;外延形成于第四层上的P掺杂Si1-xGex第五层。这种分层结构设计描述了一种调制掺杂异质结构,使供应层或P掺杂的Si1-xGex第五层位于包括第二和第三层的有源复合沟道之上。同时,除第三和第四层间的,或者是第四和第五层间的Si间隔层外,供应层或P掺杂的Si1-xGex第五层还在第二和三层的有源复合沟道之上被隔离。
本发明还提供一种用于弛豫(大于90%)Si1-xGex缓冲层的结构及其形成方法,该结构包括:半导体衬底;通过阶梯渐变(或线性渐变)外延形成的部分弛豫(小于50%)Si1-xGex第一层,其中这些层中的Ge含量从衬底起阶梯式(或线性)增大,x为0.1-0.9;外延形成于第一层上的Si1-yGey第二层,其中y=x+z,z为0.01-0.1,用于“过弛豫”第二层到等效组分,并具有对应于大于x的组分的晶格间距,外延形成于第二层上的Si1-xGex第三层,其中与原始的部分弛豫Si1-xGex第一层相比,Si1-xGex层被进一步弛豫。这种“过调节”Si1-yGey第二层造成的附加弛豫取决于第二层的厚度,该厚度进而受其在原始部分弛豫的Si1-xGex第一层上的临界厚度的限制。
本发明还提供能在上述分层结构上制备的p型场效应晶体管,其中器件的导电沟道由包括基本为纯Ge层和SiGe层的复合或双分层结构构成。选择去除上阻挡层、导电双层沟道、不掺杂间隔区和p型掺杂区,产生一些区域来隔离场效应晶体管,使二维沟道只形成在隔离的有源器件区。导电条形成的栅极可以直接形成在有源器件区上面的晶片表面上,在位于有源器件区内的栅极的两边与导电双层沟道形成欧姆接触来制备源漏极。
本发明的目的是提供一种p型调制掺杂场效应晶体管(MODFET),它制备在包括基本为纯Ge层和SiGe层的复合或双分层结构上。
本发明的目的是提供一种分层结构,它可以让p沟道场效应晶体管的沟道形成为具有作为深度函数的独特的组分分布。
本发明的又一个目的是提供p沟道器件,其有源沟道是包括薄Ge层和SiGe层的复合或双分层结构。
本发明的另一目的是提供p沟道器件,其复合沟道结构的优点是较高的压应变和由此带来的高载流子迁移率、以及与单一SiGe层沟道相比对空穴载流子有较高势垒或较深的限制沟道。
本发明的还一个目的是提供Ge层和SiGe层的掩埋复合沟道,其SiGe层处于压应变,以给p沟道器件提供高的载流子迁移率。
本发明的还一目的是提供一种p沟道器件,其中间隔层是包括薄Si层和SiGe层的复合或双分层结构。
本发明还一目的是提供一种分层结构以及制造该结构的工艺,其中所需的弛豫的SiGe层可以通过在渐增组分的SiGe缓冲结构中再增加一层或多层“过调节”层来更彻底地弛豫。
本发明的还一目的是提供比已有技术如体Si p-MOSFET和单沟道SiGe p-MODFET有更高空穴迁移率的p-MODFET。
本发明的还一目的是提供一种p-MODFET,由于高载流子迁移率,它比体Si p-MOSFET或单沟道SiGe p-MODFET有增强的高频工作特性。
结合下面附图及详细说明将会明了本发明的这些特性、目的和优点。
图1是表示本发明一实施例的分层结构的剖面图。
图2是所制备样品的TEM剖面图,表示图1所示的实施例的完全的分层结构。
图3是图示图2中所制备的样品结构中Ge浓度随深度变化的SIMS图,表示本发明的实施例的优选Ge组分分层结构。
图4是图3上部到约1000埃深的放大SIMS图,显示了调制掺杂器件区的B和Ge的浓度。
图5是图2所示的所制备的弛豫分层结构的x光(004)反射的摇摆曲线。
图6是图2所示所制备的样品结构的上器件区的TEM详细剖面图,表示本发明实施例的复合p沟道调制掺杂器件结构。
图7是一器件结构的沟道区的TEM详细剖面图,该器件结构制备在比图1的分层结构有更少弛豫的缓冲层上,展示了有源沟道区存在堆垛层错。
图8是霍尔测量测得的空穴迁移率随温度(K)变化的曲线图,以比较图5所示高质量p-沟道器件结构和图6所示的具有堆垛层错的低质量p-沟道结构的空穴迁移特性。
图9是表示本发明第二实施例的分层结构的剖面图。
图10是场效应晶体管的平面图。
图11是沿图10中的线12-12的剖面图,表示复合p-沟道分层结构。
图12是在复合p-沟道分层结构上的绝缘栅场效应晶体管的剖面图。
图13是制备在图11和12所示的复合p-沟道分层结构上的完成的、自对准的MODFET的一部分的SEM图。
图14和15是用与部分示于图13中的MODFET类似的复合p-沟道分层结构制备的p-MODFET的、用等于-0.6Vt和-1.5V测量的正向电流增益和最大单向增益与频率的数据点曲线。
参见附图,并具体参见图1,该图示出了复合p沟道调制掺杂SiGe异质结构的分层结构的剖面,用于展示本发明的实施例。层12-18外延生长于单晶半导体衬底11上,半导体衬底11可以是利用例如超高真空化学汽相淀积(UHV-CVD)、分子束外延(MBE)、或快速热化学汽相淀积(RTCVD)等外延生长技术的Si、SiGe、Ge、SiC、GaAs、SOS、SOI、键合和背面腐蚀的绝缘体上硅(BESOI)。关于在硅衬底上生长外延Si和Si1-xGex膜的UHV-CVD法,请参见美国专利5298452,该专利于1994年3月29日授予B.S.Meyerson,题为“外延硅层的低温低压化学汽相淀积方法和设备”,这里引用该文献。
图2示出了优选的分层结构20,是图1所示分层结构10的下部。图2示出了包括生长于优选的硅衬底31上的层12A、12B、12C和13-18的所制备分层结构20的剖面TEM图。图3示出了利用次级离子质谱分析法(SIMS)测量得到的图2的SiGe分层结构20的相应Ge组分分布。图3中,纵坐标表示Ge的原子百分比浓度,横坐标用微米表示近似深度。图3中,曲线21’-27’、12B’和12C’对应于图2所示的层21-27、12B和12C的Ge浓度。
图4是图3上部的放大示图,只示出了器件区。图4中,右侧的纵坐标表示Ge的原子百分比浓度,横坐标是用埃表示的近似深度。曲线37表明为近似深度的函数的Ge浓度。图4中,左侧的纵坐标表示硼浓度,单位是原子/cc,曲线39表明为近似深度的函数的硼浓度。
形成于衬底11、31上表面上的弛豫Si1-xGex第一外延层,如图1和2中的层12A,由阶梯渐变的Ge组分分层结构构成,包括层21-27。层21-27具有图3所示的优选剖面,其中应变通过作为产生图2所示的新位错33的机理的改进Frank Read源,在缓冲层21-27中或衬底11、31之下方释放,美国专利5659187中有记载,该专利于1997年8月19日授予F.K Legoues和B.S.Meyerson,这里引用该文献。
缓冲层12包括层12A、12B和12C,可以是原始不掺杂的,弛豫的,并且层12和13间界面19处Ge组分约为30%到约50%,优选为约35%。层12的设计实际由形成于Si衬底11、31上的渐变Ge组分的起始Si1-xGex层12A、随后形成于层12A上的过调节Si1-xGex层12B、最后形成于层12B上的更弛豫Si1-xGex层12C构成,其中y=x+z,z为0.01-0.1,优选值为0.05。基本上说,过调节层12B用于确保高度弛豫,即对于界面19处的上Si1-xGex表面上来说为>90%。在实现完全弛豫的Si0.65Ge0.35层12C的优选情况下,要求由曲线部分12B’相对于曲线部分21’-27’,采用Si0.60Ge0.40调节层12B,如图3所示。在弛豫Si1-xGex层12C中,平面晶格参数aSiGe(x)由以下方程式(1)给出:
aSiGe(x)=aSi+(aGe-aSi)x(1)
其中x是Ge含量,1-x是Si含量,aSi和aGe分别对应于Si和Ge的晶格常数,因而,在上Si0.65Ge0.35表面层为>90%弛豫时的优选情况下,层12C的晶格常数大于4.956埃。从结构上说,层12用于弛豫上表面层或弛豫层12C的界面19与底层Si衬底11、31间的晶格失配引起的应变,其中在Ge的晶格间距是单晶Si的晶格间距的1.04倍时,具有4.2%的晶格失配。缓冲层12的厚度为0.2-5微米,但优选厚度为约2.5微米,其中按层21-27,如图2所示,按曲线21’-27’,如图3所示,利用每增加一层0.05Ge的阶梯增量,Ge组分分布从x=0按优选的阶梯方式(与连续线性渐变方式相比)增大到x=0.10到1.0的值,优选值为x=0.35。
为了评估层12实现完全弛豫Si0.65Ge0.35缓冲的能力,采用高分辨率的x射线衍射(XRD),分析图2所制造的样品结构。图5中(004)反射的x射线摇摆曲线62示出了所测量的波谱,分析后表明,界面19处的SiGe缓冲层12C的上部的晶格参数对应于x=0.35的Ge组分,相对于底层的Si衬底31应变弛豫约95%。图5中,纵坐标表示任意单位的强度,横坐标表示布拉格角。曲线62的曲线部分63示出了复合空穴沟道16和17测量的衍射谱。曲线62的曲线部分64示出了阶梯渐变层12的测量衍射谱。曲线62的曲线部分65示出了过调节层12B的测量衍射谱。曲线62的曲线部分66示出了衬底11的测量衍射谱。
生长硅和含硅膜即Si:B、Si:P、SiGe、SiGe:B、SiGe:P、SiGeC、SiGeC:B、SiGeC:P的优选方法是上述的B.S.Meyerson的美国专利5298452中所介绍的UHV-CVD工艺。适于生长上述硅和含硅膜的UHV-CVD反应器可从德国的Leybold-Heraeus公司、瑞典的Epigress、和美国纽约的Ronkonkoma的CVD设备公司购得。
在复合p沟道调制掺杂SiGe异质结构的分层结构10中,图1所示的P掺杂的弛豫SiGe层13首先形成于层12C上,作为施主或有源沟道下的供应层。层13的厚度可以为1-20nm,优选厚度为4-5nm,应该具有1-3×1012cm-2的电活性施主剂量。层13的P型掺杂剂可以通过在层13的外延生长期间以不同的B2H6流量掺杂引入到SiGe层13中。图3A中示出了SiGe层13的优选硼掺杂剂分布的实例,整体剂量为1.5×1012硼/cm2。不掺杂的应变Si层14外延生长于P掺杂层13之上作为间隔层。层14用于隔离层13中的掺杂剂与将形成于其上的有源沟道层16和17。根据弛豫层12的界面19处的晶格间距,层14的厚度应保持低于硅层的临界厚度。在界面19处的层12为弛豫Si0.65Ge0.35层时,层13的优选厚度为1-2nm。
接着,在层14上外延生长薄弛豫不掺杂SiGe层15,与层14类似,层15用作间隔层,进一步隔离层13中的掺杂剂与包括层16和17的上复合沟道33,以便保持层16和17中的高空穴迁移率。层15的厚度可以从0-10nm,优选厚度为4-5nm。在层15上外延生长压应变Ge层16,用作p沟道场效应晶体管的复合p沟道33的第一部分。关于在硅衬底上生长外延Ge膜的UHV-CVD法的具体介绍,请参见S.Akbar、J.O.Chu和B.Cunningham的美国专利5259918,该专利于1993年11月9日授权,题为“利用UHV-CVD在硅上外延生长锗”,这里引用该文献。为了使层16作为复合p沟道33中的有效成分,外延Ge必须是没有例如堆垛层错和层16和17间的任何界面粗糙度问题等结构缺陷的器件质量层。例如,在层12C为界面19处的弛豫Si0.65Ge0.35层的优选情况下,Ge层16的厚度为0-25埃,优选厚度为20埃,如图6所示。应注意,要保持Ge层16的层厚为20埃,重要的是界面19处的层12必须等于或晶格间距至少等于90%弛豫的Si0.65Ge0.35缓冲层。否则,在Ge层16生长于对应于界面19处的较小弛豫或较低Ge含量的缓冲层12的较小晶格间距上的情况下,其中会发生堆垛层错,如图7所示。
图6示出了Ge层16有104-106个/cm2的堆垛层错。Ge层16中的堆垛层错取向可向上延伸到Si1-wGew层17。Si1-wGew层17还应该具有104-106个/cm2缺陷。在图6中,示出了界面42处层17的上表面的平滑度。堆垛层错由于界面19处的90%弛豫层12减少到106个/cm2缺陷以下。层的弛豫百分比可通过测量晶格常数确定,如通过以上所述的x射线衍射(XRD)。
图7展示了与图6类似的层12-18,但图17中,层12具体说在界面19,晶格常数对应于小于90%的弛豫,导致了106个/cm2以上的堆垛层错,一般为106-108个/cm2缺陷,这是电子器件所不希望的。
在层16上,外延生长压应变SiGe层17,该层用作p沟道场效应晶体管的复合沟道33的第二部分。SiGe层17的Ge组分可以从50%到<100%,优选组分为80%,厚度为40-100埃。或者,SiGe层17可以具有在SiGe层17中渐变的锗含量,例如从较靠近Ge层16的层下部的0.95Ge降低到SiGe层17的上部的约0.50Ge。
在层17上,生长SiGe帽盖层18,其优选的Ge组分与界面19处的层12C相同,其作用是隔离p沟道33与表面,以限制层16和17中的空穴载流子,层17的厚度可以为2-20nm,优选厚度为10-15nm。在界面19处的层12C的晶格间距等于弛豫Si0.65Ge0.35缓冲层的情况下,层13、15和18可以具有相同的硅和锗组分,以提供相同的晶格间距,其中Ge含量可以从20-50%,优选值为35%。
空穴的沟道限制和其提高的传输迁移率,起因于相对由于纯Ge比Si的晶格常数大4.2%产生的界面19处的弛豫缓冲层12具有两个高Ge含量层的复合沟道结构中较高的压应变。在形成于弛豫SiGe缓冲层12上的SiGe或Ge沟道层中产生和增强压应变的结构能力,可以显著改变p沟道层16和17的导带和价带。另外,p沟道调制掺杂异质结构设计的重要参数是压应变Si1-xGex或Ge沟道层相对于弛豫Si1-x’Gex’外延层12的价带偏移(ΔEv),该参数由方程(2)给出:
ΔEv=(0.74-0.53x’)x(eV)    (2)
其中x’是弛豫SiGe外延层12中的Ge含量,x是空穴沟道中的Ge含量。这个公式是R.People和J.C.Bean在1986年2月24的48(8)卷《应用物理快报》的538-540页的文章“〈001〉GeySi1-y衬底上相关应变GexSi1-x/Si异质结构的带对准”中报道的,此处引用作为参考。更具体地,当在弛豫Si0.65Ge0.35层12上形成Si0.2Ge0.8沟道时,层17的价带不连续(ΔEv)为443meV,用纯Ge作沟道层16时,在空穴或价带产生约554meV的更大的带偏移,这实质将产生一个更深的量子阱或对空穴限制更有效的势垒。重要的是,SiGe或Ge层中的压应变会将价带分裂为重空穴带和轻空穴带,这样,对于沿应变沟道中的载流子输运,在有较轻空穴质量的上价带输运的空穴将提高空穴的迁移率,使之大大高于Si p沟道场效应晶体管,如下所述,M.Rodder等在IEDM98-623的文章“1.2V、0.1微米栅长的CMOS技术:设计及工艺问题”中报道了Si p沟道场效应晶体管的典型迁移率为75cm2/Vs。因而,当层17是厚度为7到8nm的Si0.2Ge0.8沟道,和层16厚为1.5到2.0nm的Ge沟道时,在复合沟道结构的空穴占据带中测量的空穴迁移率,在300K时为900到1400cm2/Vs,在20K时为5000到10000cm2/Vs。
另外,图8的曲线71表示当Si0.2Ge0.8/Ge复合p沟道33生长在合适弛豫的Si0.65Ge0.35缓冲层12上时,测得的二维空穴气(2DHG)的空穴迁移率的温度曲线,并和曲线72进行比较,曲线72表示与低质量或有缺陷的Si0.2Ge0.8/Ge复合沟道结构生长在低含量的Si0.75Ge0.25缓冲层上时有关的退化的迁移率性质,表明复合p沟道33对层12的设计如组分分布、弛豫度、堆垛层错和失配位错的存在都很敏感。在图8中,纵坐标表示空穴迁移率μh,单位cm2/Vs;横坐标表示温度,单位K。如图7所示,当复合p沟道33构置在比Si0.65Ge0.35层12更少弛豫或低Ge含量的外延层的情况下,曲线72示出的退化迁移率行为是由于Si0.2Ge0.8/Ge复合p沟道33中存在堆垛层错所致。曲线71所示Si0.2Ge0.8/Ge复合p沟道33测得的迁移率是Si p沟道场效应晶体管的6到7倍。曲线71所示复合p沟道33测得的迁移率表示有与图6类似的缺陷密度,一般在104到106个/cm2范围。而曲线72所示复合p沟道33测得的迁移率表示有与图7类似的缺陷密度,通常在106到108个/cm2范围。在300K,在面载流子密度为1.4×1012cm-2时,复合p沟道33的迁移率μh为1360cm2/Vs。在20K,在面载流子密度为3.17×1012cm-2时,复合p沟道33的迁移率μh为9800cm2/Vs。
在图9所示的另一个实施例中,包括层16和17的沟道43形成在缓冲层12上,SiGe层15形成在沟道层43上,Si层14形成在层15上,p掺杂Si1-xGex供应层13形成在Si层14上。如二氧化硅等介质层81形成在SiGe层13上。在图9中,相同的符号用来表示与图1装置相同的功能。
在图1中,间隔层如Si间隔层14或SiGe间隔层15可以从复合p沟道33的分层结构10中省略,而不会对p沟道33中空穴限制和载流子迁移率有太大的退化。
在图9所示调制掺杂器件80的设计中,当希望进一步将p沟道43中的有源载流子与供应层13中的离化空穴施主隔离,来优化载流子在低温(低于20K)的输运时,使用间隔层14和15的厚间隔层更好更重要。尽管如此,从室温输运来说,当只有一个Si间隔层14或者SiGe间隔层15来将调制掺杂器件80的复合沟道43与供应层13隔离时,只能观测到微小的效应(如果有的话)。
在如图9所示的供应层13置于有源沟道43上面的调制掺杂器件80中,复合p沟道层包括薄Ge层16(小于界面19的临界厚度,约10到20埃)和SiGe层17。首先在层12C上形成Ge层16以形成界面19。层16和17作为场效应晶体管的沟道区43。接着在沟道层17上生长包括SiGe间隔层15和Si间隔层14的间隔层,用于将上面的供应层13中的掺杂剂与下面有源沟道层16和17隔离。在间隔层14上,形成p掺杂SiGe供应层13,作为有源沟道层16和17的施主层或供应层。层16、17、15、14和13中锗的组分和厚度可以与图1中相同符号表示的相同,图1表示的是SiGe供应层13在沟道33下面的复合沟道分层结构10。
图10是自对准p型SiGe MODFET器件的平面图。图10中沿线12-12的剖面图示于图11。自对准MODFET设计适于减小肖特基栅器件结构的接入电阻,其工艺通常要求在源/漏欧姆金属化之前进行栅金属化的构图和蒸发。通常制备T形栅,使栅侧凸缘作为源/漏欧姆接触蒸发时的掩模,防止源和漏的欧姆接触与肖特基栅短路。M.Arafa,K.Ismail,J.O.Chu,B.S.Meyerson和I.Adesida在1996年12月17(12)卷的IEEE Elec.Dev.Lett.中的586-588页的文章“70-GHz fT低工作偏置自对准p型SiGe MODFET”中报道了该基本工艺流程,此处引用作为参考。如图11所示,器件由图1所示的分层结构构成,图11中相同的参考符号对应图1中各层。这种分层结构设计描述了一种调制掺杂异质结构,其中作为供应层的p型掺杂层13通过作为间隔层的不掺杂层14和15,与作为导电沟道区的层16和17隔离。在图11中,场效应晶体管100包括隔离区104,它是通过选择性去除层13、14、15、16、17和18使导电复合沟道区只留在有源器件区105中来形成的。隔离区104应该完全包围有源沟道区105,如图10所示。腐蚀后,可以在隔离区104中淀积绝缘材料106如SiOx,来钝化隔离区104。栅结构较好为T形,即底部窄顶部宽,这样对空穴有较高的肖特基势垒、有低的电阻率、与衬底的反应有高的温度势垒等特性。这些特性可以用多级栅堆叠来得到。在优选实施例中,用双层或三层P(MMA-PMAA)抗蚀系统,用电子束光刻来构图栅107,并用Ti/Mo/Pt/Au剥离进行限制。在此情况下,栅107形成在层18上,从下往上,包括Ti层108、Mo层109、Pt层110和Au层111。该工艺可以让栅图形112的尺寸小于0.1微米,实现源到栅和漏到栅的尺寸小达0.1微米。栅107应该形成为窄条在两边将有源器件区完全分割成分离的区域。然后在有源器件区105上蒸发金属形成自对准源漏欧姆接触113和114,使栅107的侧凸级部分115作为掩模,以避免源漏接触113和114与栅107的短路。在优选实施例中,在有源器件区105上蒸发20到30nm厚的Pt层,然后在T=200-400C硅化形成低电阻源和漏接触113和114。
图12示出复合p沟道分层结构上绝缘栅场效应晶体管的剖面图。器件包括图1所示的分层结构,图12中,类似的参考标记用于与图1相应的层。器件还包括绝缘层120,它形成在SiGe层18上。在优选实施例中,层120由SiOx或SixNy构成。器件还包括绝缘区121、栅122、以及按图10类似的方法构成的源和漏123和124。在优选实施例中,栅122形成在绝缘层120上。绝缘侧壁区125构图后,栅122作为形成自对准欧姆源漏接触123和124的掩模。
图13表示制备在图10和图11所示复合p沟道分层结构上的完成的自对准MODFET的一部分的SEM图。该特定器件有0.12微米的栅图形、和0.15微米的源到栅间隔。
图14和15表示自对准复合沟道p-MODFET在两个不同偏置电压下的高频特性图。该器件利用图10和11的设计,有0.09微米的栅图形长和25微米的栅宽。在5到40GHz的频率范围、优化的偏置条件下从微波s参数数据得到其电参数。通过测量开路焊盘几何形状,去除微波焊盘几何形状所致的寄生元件效应,然后从整个系统响应去除实际器件几何形状的响应。
图14示出了源漏偏置电压为Vds=-0.6V时,用数据点126表示的正向电流增益|h212、用数据点127表示的最大单向增益(MUG)随频率f的变化图。图16示出了源漏偏置电压为Vds=-1.5V时,用数据点128表示的正向电流增益|h212、用数据点129表示的最大单向增益(MUG)随频率f的变化图。将|h212随频率曲线以-20dB/10外推直到使|h212等于一,得到单位电流增益截止频率fT。代表Vds=-0.6V的|h212的数据点126随频率的外推在图14中用实线130表示。代表Vds=-1.5V的|h212的数据点128随频率的外推在图15中用实线131表示。类似地,以-20dB/10外推以使MUG高频值为一直到MUG等于一,得到最大振荡频率fmax。代表Vds=-0.6V的MUG的数据点127随频率的外推在图14中用实线122表示。代表Vds=-1.5V的MUG的数据点129随频率的外推在图15中用实线133表示。外推值分别为:Vds=-0.6V时,fT=48GHz,fmax=108GHz,Vds=-1.5V时,fT=46GHz,fmax=116GHz。据发明人所知,fmax是p型场效应晶体管所得到的最大值。fmax在Vds=-0.6V的低偏置下超过100GHz犹为引人注目。这些耗尽模式场效应晶体管器件的高频性能记录、及得到该值的低偏置,是由于图10-11所述的高迁移率复合沟道分层结构、和自对准T栅设计所致。

Claims (72)

1.一种形成p沟道场效应晶体管的分层结构,包括:
单晶衬底,
外延形成在所说衬底上的弛豫Si1-xGex第一层,其中Ge组分比x在0.35到0.5范围,
外延形成在所说第一层上的Si1-xGex第二层,
外延形成在所说第二层上的不掺杂Si第三层,
外延形成在所说第三层上的不掺杂Si1-xGex第四层,
外延形成在所说第四层上的Ge第五层,所说第五层处于压应变、且它的厚度小于相对所说第一层的临界厚度,
外延形成在所说第五层上的Si1-wGew第六层,其中Ge组分比w在0.5到<1.0范围,且w-x>0.2,由此所说第六层处于压应变,及
外延形成在所说第六层上的Si1-xGex第七层。
2.如权利要求1的分层结构,还包括处于所说第一层的应变释放结构中的Si1-yGey过调节层,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层的临界厚度。
3.如权利要求1的分层结构,其特征在于:有源器件区是掩埋复合沟道结构,由所说第五层外延Ge沟道和所说第六层外延Si1-wGew沟道构成,相对单层沟道器件有较高的压应变,以提供更深的量子阱或更高的势垒来更好地限制空穴。
4.如权利要求1的分层结构,其特征在于:所说第五层在没有Ge膜的3D生长以产生界面粗糙问题的温度下并且在Ge薄膜出现2D生长的275到350℃的温度下形成。
5.如权利要求1的分层结构,其特征在于:在所说第六层中Ge含量w可以渐变,从接近所说第五层的高Ge含量朝所说第六层的上表面的Ge含量逐渐减低。
6.如权利要求1的分层结构,其特征在于:隔离区包括所说应变Si的第三层和所说弛豫Si1-xGex第四层。
7.如权利要求1的分层结构,其特征在于:所说第三层处于张应变,且在与所说第二层的界面处的厚度相对所说第一层小于其临界厚度。
8.如权利要求1的分层结构,其特征在于:所说第二层是p掺杂Si1-xGex层,该层形成在所说第五层和所说第六层的沟道区下面,并通过所说Si第三层和所说Si1-xGex第四层而与之隔开,所说第二层厚度为1到20nm,优选厚度为4到5nm,电活性施主剂量为1到3×1012cm-2
9.一种形成p沟道场效应晶体管的分层结构,包括:
单晶衬底,
外延形成在所说衬底上的弛豫Si1-xGex第一层,其中Ge组分比x在0.35到0.5范围,
外延形成在所说第一层上的Si1-xGex第二层,
外延形成在所说第二层上的不掺杂Si第三层,
外延形成在所说第三层上的Ge第四层,所说第四层处于压应变、且它的厚度小于相对所说第一层的临界厚度,
外延形成在所说第四层上的Si1-wGew第五层,其中Ge组分比w在0.5到<1.0范围,且w-x>0.2,由此所说第五层处于压应变,及
外延形成在所说第五层上的Si1-xGex第六层。
10.如权利要求9的分层结构,还包括处于所说第一层的应变释放结构中的Si1-yGey过调节层,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层的临界厚度。
11.如权利要求9的分层结构,其特征在于:有源器件区是掩埋复合沟道结构,由所说第四层外延Ge沟道和所说第五层外延Si1-wGew沟道构成,相对单层沟道器件有较高的压应变,以提供更深的量子阱或更高的势垒来更好地限制空穴。
12.如权利要求9的分层结构,其特征在于:所说第四层在没有Ge膜的3D生长以产生界面粗糙问题的温度下并且在Ge薄膜出现2D生长的275到350℃的温度下形成。
13.如权利要求9的分层结构,其特征在于:在所说第五层中Ge含量w可以渐变,从接近所说第四层的较高Ge含量朝所说第五层的上表面的Ge含量逐渐减低。
14.如权利要求9的分层结构,其特征在于:间隔区是所说第三层构成的单分层结构,其中所说第三层是应变Si。
15.如权利要求9的分层结构,其特征在于:所说第三层处于张应变,且在与所说第二层的界面处的厚度相对所说第一层小于其临界厚度。
16.如权利要求9的分层结构,其特征在于:所说Si第三层可以用有可调节厚度的弛豫Si1-xGex层替换,以便间隔层的厚度可以相应地变化,从而为器件应用使供应剂量优化成为0.4到425K范围内的温度的函数。
17.如权利要求9的分层结构,其特征在于:所说第二层是p掺杂Si1-xGex层,形成在所说第四层和所说第五层的沟道区下面,并通过所说Si第三层而与之隔开。
18.如权利要求16的分层结构,其特征在于:所说第二层的供应层形成在所说第四层和第五层的沟道区下面并通过所说弛豫Si1-xGex层与之隔开。
19.一种形成p沟道场效应晶体管的分层结构,包括:
单晶衬底,
外延形成在所说衬底上的弛豫Si1-xGex第一层,其中Ge组分比x在0.35到0.5范围,
外延形成在所说第一层上的Ge第二层,所说第二层处于压应变、且它的厚度小于相对所说第一层的临界厚度,
外延形成在所说第二层上的Si1-wGew第三层,其中Ge组分比w在0.5到<1.0范围,且w-x>0.2,由此所说第三层处于压应变,
外延形成在所说第三层上的不掺杂Si1-xGex第四层,
外延形成在所说第四层上的不掺杂Si第五层,及
外延形成在所说第五层上的p掺杂Si1-xGex第六层。
20.如权利要求19的分层结构,还包括处于所说第一层的应变释放结构中的Si1-yGey过调节层,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层的临界厚度。
21.如权利要求19的分层结构,其特征在于:有源器件区是掩埋复合沟道结构,由所说第二层外延Ge沟道和所说第三层外延Si1-wGew沟道构成,相对单层沟道器件有较高的压应变,以提供更深的量子阱或更高的势垒来更好地限制空穴。
22.如权利要求19的分层结构,其特征在于:所说第二层在没有Ge膜的3D生长以产生界面粗糙问题的温度下并且在Ge薄膜出现2D生长的275到350℃的温度下形成。
23.如权利要求19的分层结构,其特征在于:在所说第三层中Ge含量w可以渐变,从接近所说第二层的较高Ge含量朝所说第三层的上表面的Ge含量逐渐减低。
24.如权利要求19的分层结构,其特征在于:间隔区是包括所说应变Si第五层和所说弛豫Si1-xGex第四层的复合分层结构。
25.如权利要求19的分层结构,其特征在于:所说第五层处于张应变,且在与所说第二层的界面处的厚度相对所说第一层小于其临界厚度。
26.如权利要求19的分层结构,其特征在于:供应层是所说第六层的p掺杂Si1-xGex层,形成在所说第二层和第三层的沟道区上面,并通过所说Si第五层和Si1-xGex第四层的复合间隔结构隔开。
27.一种形成p沟道场效应晶体管的分层结构,包括:
单晶衬底,
外延形成在所说衬底上的弛豫Si1-xGex第一层,其中Ge组分比x在0.35到0.5范围,
外延形成在所说第一层上的Ge第二层,所说第二层处于压应变、且它的厚度小于相对所说第一层的临界厚度,
外延形成在所说第二层上的Si1-wGew第三层,其中Ge组分比w在0.5到<1.0范围,且w-x>0.2,由此所说第三层处于压应变,
外延形成在所说第三层上的不掺杂Si1-xGex第四层,
和外延形成在所说第四层上的p掺杂Si1-xGex第五层。
28.如权利要求27的分层结构,还包括处于所说第一层的应变释放结构中的Si1-yGey过调节层,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层的临界厚度。
29.如权利要求27的分层结构,其特征在于:有源器件区是掩埋复合沟道结构,由所说第二层外延Ge沟道和所说第三层外延Si1-wGew沟道构成,相对单层沟道器件有较高的压应变以提供更深的量子阱或更高的势垒来更好地限制空穴。
30.如权利要求27的分层结构,其特征在于:所说第二层在没有Ge膜的3D生长以产生界面粗糙问题的温度下并且在Ge薄膜出现2D生长的275到350℃的温度下形成。
31.如权利要求27的分层结构,其特征在于:在所说第三层中Ge含量w可以渐变,从接近所说第二层的较高Ge含量朝所说第三层的上表面的Ge含量逐渐减低。
32.如权利要求27的分层结构,其特征在于:间隔区是由所说弛豫Si1-xGex第四层构成的单分层结构。
33.如权利要求27的分层结构,其特征在于:所说Si1-xGex第四层可以用薄的应变相当的Si层代替,从而可以给MODFET器件提供薄的间隔层厚度。
34.如权利要求27的分层结构,其特征在于:所说第五层是p掺杂Si1-xGex层,其形成在所说第二层和第三层的沟道区上面,并通过所说Si1-xGex第四层与之隔开。
35.如权利要求33的分层结构,其特征在于:所说第五层是p掺杂Si1-xGex层,其形成在所说第二层和第三层的沟道区上面,并通过薄应变相当的Si层隔开。
36.一种包括权利要求1的分层结构的场效应晶体管结构,还包括:
至少选择去除所说第七层到第二层而产生的电隔离区,
形成在所说第七层上的肖特基栅极,
置于所说栅极一侧的源极,和
置于所说栅极另一侧的漏极。
37.一种包括权利要求9的分层结构的场效应晶体管结构,还包括:
至少选择去除所说第六层到第二层而产生的电隔离区,
形成在所说第六层上的肖特基栅极,
置于所说栅极一侧的源极,和
置于所说栅极另一侧的漏极。
38.一种包括权利要求1的分层结构的场效应晶体管结构,还包括:
至少选择去除所说第七层到第二层而产生的电隔离区,
形成在所说第七层上的栅介质,
形成在所说栅介质上的栅极,
置于所说栅极一侧的源极,和
置于所说栅极另一侧的漏极。
39.一种包括权利要求9的分层结构的场效应晶体管结构,还包括:
至少选择去除所说第六层到第二层而产生的电隔离区,
形成在所说第六层上的栅介质,
形成在所说栅介质上的栅极,
置于所说栅极一侧的源极,和
置于所说栅极另一侧的漏极。
40.一种包括权利要求19的分层结构的场效应晶体管结构,还包括:
至少选择去除所说第六层到第二层而产生的电隔离区,
形成在所说第六层上的栅介质,
形成在所说栅介质上的栅极,
置于所说栅极一侧的源极,和
置于所说栅极另一侧的漏极。
41.一种包括权利要求27的分层结构的场效应晶体管结构,还包括:
至少选择去除所说第五层到第二层而产生的电隔离区,
形成在所说第五层上的栅介质,
形成在所说栅介质上的栅极,
置于所说栅极一侧的源极,和
置于所说栅极另一侧的漏极。
42.一种其上形成电器件的分层结构,包括:
单晶衬底,
在所说衬底上外延形成的弛豫Si1-xGex第一层,其Ge组分x为0.35到0.5,
处于所说第一层的弛豫结构中的Si1-yGey过调节层,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层顶部的临界厚度,及
外延形成在所说第一层上的Si1-xGex第二层。
43.一种形成p沟道场效应晶体管的方法,包括步骤:
选择单晶衬底,
在所说衬底上外延形成弛豫Si1-xGex第一层,其Ge组分x为0.35到0.5,
在所说第一层上外延形成Si1-xGex第二层,
在所说第二层上外延形成不掺杂的Si第三层,
在所说第三层上外延形成不掺杂的Si1-xGex第四层,
在所说第四层上外延形成Ge第五层,所说第五层处于压应变、且它的厚度小于相对所说第一层的临界厚度,
在所说第五层上外延形成Si1-wGew第六层,其中Ge组分比w在0.5到<1.0范围,且w-x>0.2,由此所说第六层处于压应变,
和在所说第六层上外延形成Si1-xGex第七层。
44.如权利要求43的方法,还包括在所说第一层的应变释放结构中形成Si1-yGey过调节层的步骤,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层的临界厚度。
45.如权利要求43的方法,其特征在于:所说第五层在没有Ge膜的3D生长以产生界面粗糙问题的温度下并且在Ge薄膜出现2D生长的275到350℃的温度下形成。
46.如权利要求43的方法,其特征在于:形成第六层的步骤包括使所说第六层中Ge含量w渐变的步骤,从接近所说第五层的较高Ge含量朝所说第六层的上表面的Ge含量逐渐减低。
47.如权利要求43的分层结构,其特征在于:所说第二层是p掺杂Si1-xGex层,该层形成在所说第五层和所说第六层的沟道区下面,并通过所说Si第三层和所说Si1-xGex第四层而与之隔开,所说第二层厚度为1到20nm,优选厚度为4到5nm,电活性施主剂量为1到3×1012cm-2
48.一种形成p沟道场效应晶体管的方法,包括:
选择单晶衬底,
在所说衬底上外延形成弛豫Si1-xGex第一层,其中Ge组分比x在0.35到0.5范围,
在所说第一层上外延形成Si1-xGex第二层,
在所说第二层上外延形成不掺杂Si第三层,
在所说第三层上外延形成Ge第四层,所说第四层处于压应变、且它的厚度小于相对所说第一层的临界厚度,
在所说第四层上外延形成Si1-wGew第五层,其中Ge组分比w在0.5到<1.0范围,且w-x>0.2,由此所说第五层处于压应变,及
在所说第五层上外延形成Si1-xGex第六层。
49.如权利要求48的方法,还包括在所说第一层的应变释放结构中形成Si1-yGey过调节层的步骤,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层的临界厚度。
50.如权利要求48的分层结构,其特征在于:所说第四层在没有Ge膜的3D生长以产生界面粗糙问题的温度下并且在Ge薄膜出现2D生长的275到350℃的温度下形成。
51.如权利要求48的方法,其特征在于:形成所说第六层的步骤包括使所说第五层中Ge含量w渐变的步骤,从接近所说第四层的较高Ge含量朝所说第五层的上表面的Ge含量逐渐减低。
52.如权利要求48的方法,其特征在于:所说Si第三层可以用有可调节厚度的弛豫Si1-xGex层替换,以便间隔层的厚度可以相应地变化,从而对于器件应用可以使供应剂量优化为0.4到425K范围内的温度的函数。
53.如权利要求48的方法,其特征在于:所说p掺杂Si1-xGex第二层形成在所说第四层和所说第五层的沟道区下面,并通过所说Si第三层而与之隔开。
54.如权利要求52的方法,其特征在于:所说第二层的供应层形成在所说第四层和第五层的沟道区下面并通过所说弛豫Si1-xGex层与之隔开。
55.一种形成p沟道场效应晶体管的方法,包括:
选择单晶衬底,
在所说衬底上外延形成弛豫Si1-xGex第一层,其中Ge组分比x在0.35到0.5范围,
在所说第一层上外延形成Ge第二层,所说第二层处于压应变、且它的厚度小于相对所说第一层的临界厚度,
在所说第二层上外延形成Si1-wGew第三层,其中Ge组分比w在0.5到<1.0范围,且w-x>0.2,由此所说第三层处于压应变,
在所说第三层上外延形成不掺杂Si1-xGex第四层,
在所说第四层上外延形成不掺杂Si第五层,和
在所说第五层上外延形成p掺杂Si1-xGex第六层。
56.如权利要求55的方法,还包括形成Si1-yGey过调节层的步骤,该层处于所说第一层的应变释放结构中,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层的临界厚度。
57.如权利要求55方法,其特征在于:所说第二层在没有Ge膜的3D生长以产生界面粗糙问题的温度下并且在Ge薄膜出现2D生长的275到350℃的温度下形成。
58.如权利要求55的方法,其特征在于:形成第三层的步骤包括使所说第三层中Ge含量w渐变的步骤,从接近所说第二层的高Ge含量朝所说第三层的上表面的Ge含量逐渐减低。
59.如权利要求55的方法,其特征在于:所说p掺杂Si1-xGex第六层的供应层形成在所说第二层和第三层的沟道区上面,并通过所说Si第五层和Si1-xGex第四层构成的复合间隔结构隔开。
60.一种形成p沟道场效应晶体管的方法,包括:
选择单晶衬底,
在所说衬底上外延形成弛豫Si1-xGex第一层,其中Ge组分比x在0.35到0.5范围,
在所说第一层上外延形成Ge第二层,所说第二层处于压应变、且它的厚度小于相对所说第一层的临界厚度,
在所说第二层上外延形成Si1-wGew第三层,其中Ge组分比w在0.5到<1.0范围,且w-x>0.2,由此所说第三层处于压应变,
在所说第三层上外延形成不掺杂Si1-xGex第四层,和
在所说第四层上外延形成p掺杂Si1-xGex第五层。
61.如权利要求60的方法,还包括在所说第一层的应变释放结构中形成Si1-yGey过调节层的步骤,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层的临界厚度。
62.如权利要求60的方法,其特征在于:所说第二层在没有Ge膜的3D生长以产生界面粗糙问题的温度下并且在Ge薄膜出现2D生长的275到350℃的温度下形成。
63.如权利要求60的方法,其特征在于:形成第三层的步骤包括使所说第三层中Ge含量w渐变的步骤,从接近所说第二层的高Ge含量朝所说第三层的上表面的Ge含量逐渐减低。
64.如权利要求60的方法,其特征在于:所说p掺杂Si1-xGex第五层形成在所说第二层和第三层的沟道区上面,并通过所说Si1-xGex第四层与之隔开。
65.如权利要求60的分层结构,其特征在于:所说第五层是p掺杂Si1-xGex层,其形成在所说第二层和第三层的沟道区上面,并通过薄应变相当的Si层与之隔开。
66.一种形成场效应晶体管结构的方法,包括权利要求43的方法,还包括以下步骤:
至少选择去除所说第七层到第二层从而形成电隔离区,
在所说第七层上形成肖特基栅极,
形成置于所说栅极一侧的源极,
和形成置于所说栅极另一侧的漏极。
67.一种形成场效应晶体管结构的方法,包括权利要求48的方法,还包括以下步骤:
至少选择去除所说第六层到第二层从而形成电隔离区,
在所说第六层上形成肖特基栅极,
形成置于所说栅极一侧的源极,
和形成置于所说栅极另一侧的漏极。
68.一种形成场效应晶体管结构的方法,包括权利要求1的方法,还包括以下步骤:
至少选择去除所说第七层到第二层从而形成电隔离区,
在所说第七层上形成栅介质,
在所说栅介质上形成栅极,
形成置于所说栅极一侧的源极,
和形成置于所说栅极另一侧的漏极。
69.一种形成场效应晶体管结构的方法,包括权利要求48的方法,还包括以下步骤:
至少选择去除所说第六层到第二层从而形成电隔离区,
在所说第六层上形成栅介质,
在所说栅介质上形成栅极,
形成置于所说栅极一侧的源极,
和形成置于所说栅极另一侧的漏极。
70.一种形成场效应晶体管结构的方法,包括权利要求55的方法,还包括以下步骤:
至少选择去除所说第六层到第二层从而形成电隔离区,
在所说第六层上形成栅介质,
在所说栅介质上形成栅极,
形成置于所说栅极一侧的源极,
和形成置于所说栅极另一侧的漏极。
71.一种形成场效应晶体管结构的方法,包括权利要求60的方法,还包括以下步骤:
至少选择去除所说第五层到第二层从而形成电隔离区,
在所说第五层上形成栅介质,
在所说栅介质上形成栅极,
形成置于所说栅极一侧的源极,
和形成置于所说栅极另一侧的漏极。
72.一种形成电器件的方法,包括步骤:
形成单晶衬底,
在所说衬底上外延形成弛豫Si1-xGex第一层,其Ge组分x为0.35到0.5,
在所说第一层的弛豫结构中形成Si1-yGey过调节层,其Ge组分比为y,其中y=x+z,而z在0.01到0.1之间,其厚度小于相对所说第一层上部的临界厚度,及
在所说第一层上外延形成Si1-xGex第二层。
CNB001036793A 1999-03-12 2000-03-02 用于场效应器件的高速复合p沟道Si/SiGe异质结构 Expired - Fee Related CN1184695C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/267,323 US6350993B1 (en) 1999-03-12 1999-03-12 High speed composite p-channel Si/SiGe heterostructure for field effect devices
US09/267,323 1999-03-12

Publications (2)

Publication Number Publication Date
CN1289149A true CN1289149A (zh) 2001-03-28
CN1184695C CN1184695C (zh) 2005-01-12

Family

ID=23018301

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB001036793A Expired - Fee Related CN1184695C (zh) 1999-03-12 2000-03-02 用于场效应器件的高速复合p沟道Si/SiGe异质结构

Country Status (6)

Country Link
US (3) US6350993B1 (zh)
JP (1) JP3457614B2 (zh)
CN (1) CN1184695C (zh)
DE (1) DE10011054A1 (zh)
IL (1) IL134674A (zh)
TW (1) TW498461B (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7138650B2 (en) 2001-08-06 2006-11-21 Sumitomo Mitsubishi Silicon Corporation Semiconductor substrate, field-effect transistor, and their manufacturing method of the same
CN100447950C (zh) * 2007-01-26 2008-12-31 厦门大学 低位错密度锗硅虚衬底的制备方法
CN100517614C (zh) * 2003-08-29 2009-07-22 国际商业机器公司 高电子迁移率层结构及其制备方法
CN1723545B (zh) * 2003-01-14 2010-05-05 校际微电子中心 半导体器件与生长薄应变弛豫缓冲层的方法
WO2011127727A1 (zh) * 2010-04-16 2011-10-20 清华大学 一种防漏电的半导体结构
US8264004B2 (en) 2007-03-29 2012-09-11 Intel Corporation Mechanism for forming a remote delta doping layer of a quantum well structure
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US8455929B2 (en) 2010-06-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of III-V based devices on semiconductor substrates
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8674341B2 (en) 2009-04-01 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. High-mobility multiple-gate transistor with improved on-to-off current ratio
US8816391B2 (en) 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
CN105826387A (zh) * 2015-01-23 2016-08-03 三星电子株式会社 半导体衬底和包括其的半导体器件
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
CN111213241A (zh) * 2018-06-30 2020-05-29 魏进 半导体器件、半导体设备及其制造方法

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
DE60042666D1 (de) * 1999-01-14 2009-09-17 Panasonic Corp Halbleiterbauelement und Verfahren zu dessen Herstellung
GB2385943B (en) 1999-03-05 2003-11-05 Nanovis Llc Mach-Zehnder interferometer with aperiodic grating
US6993222B2 (en) * 1999-03-05 2006-01-31 Rj Mears, Llc Optical filter device with aperiodically arranged grating elements
US7145167B1 (en) * 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
US6563143B2 (en) * 1999-07-29 2003-05-13 Stmicroelectronics, Inc. CMOS circuit of GaAs/Ge on Si substrate
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
KR100392166B1 (ko) * 2000-03-17 2003-07-22 가부시끼가이샤 도시바 반도체 장치의 제조 방법 및 반도체 장치
DE10025833A1 (de) * 2000-05-25 2001-11-29 Rubitec Gesellschaft Fuer Innovation & Technologie Ruhr Univ Bochum Mbh Halbleiterschichtsystem und Verfahren zur Herstellung von einem Halbleiterschichtsystem mit erhöhter Resistenz gegen thermische Prozessierung
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
WO2002052652A1 (fr) * 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Composant a semi-conducteur et son procede de fabrication
KR100385857B1 (ko) * 2000-12-27 2003-06-02 한국전자통신연구원 SiGe MODFET 소자 제조방법
JP2002252233A (ja) * 2001-02-22 2002-09-06 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6593641B1 (en) * 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6940089B2 (en) * 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
AU2002320060A1 (en) * 2001-06-08 2002-12-23 Amberwave Systems Corporation Method for isolating semiconductor devices
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
WO2003001671A2 (en) * 2001-06-21 2003-01-03 Amberwave Systems Corporation Improved enhancement of p-type metal-oxide-semiconductor field-effect transistors
JP2003031495A (ja) * 2001-07-12 2003-01-31 Hitachi Ltd 半導体装置用基板の製造方法および半導体装置の製造方法
KR100776965B1 (ko) * 2001-08-06 2007-11-21 가부시키가이샤 섬코 반도체 기판 및 전계 효과형 트랜지스터 및 그 제조 방법
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
JP4799786B2 (ja) * 2001-10-02 2011-10-26 ルネサスエレクトロニクス株式会社 電力増幅用電界効果型半導体装置およびその製造方法、ならびにパワーモジュール
JP3970011B2 (ja) * 2001-12-11 2007-09-05 シャープ株式会社 半導体装置及びその製造方法
JP2003197906A (ja) * 2001-12-28 2003-07-11 Fujitsu Ltd 半導体装置および相補型半導体装置
AU2003202499A1 (en) * 2002-01-09 2003-07-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and its production method
US6649492B2 (en) * 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
AU2003222003A1 (en) * 2002-03-14 2003-09-29 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
KR100460201B1 (ko) * 2002-04-08 2004-12-08 한국전자통신연구원 SiGe/Si 이종 접합 전계 효과 트랜지스터 제조용 기판의 형성 방법
WO2003098664A2 (en) * 2002-05-15 2003-11-27 The Regents Of The University Of California Method for co-fabricating strained and relaxed crystalline and poly-crystalline structures
JP2003347229A (ja) * 2002-05-31 2003-12-05 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US7138310B2 (en) * 2002-06-07 2006-11-21 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US7005668B2 (en) * 2002-06-25 2006-02-28 Massachusetts Institute Of Technology Method for improving hole mobility enhancement in strained silicon p-type MOSFETS
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
US7018910B2 (en) * 2002-07-09 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Transfer of a thin layer from a wafer comprising a buffer layer
US7049627B2 (en) * 2002-08-23 2006-05-23 Amberwave Systems Corporation Semiconductor heterostructures and related methods
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US20060048700A1 (en) * 2002-09-05 2006-03-09 Wanlass Mark W Method for achieving device-quality, lattice-mismatched, heteroepitaxial active layers
US6770504B2 (en) * 2003-01-06 2004-08-03 Honeywell International Inc. Methods and structure for improving wafer bow control
US20090325362A1 (en) * 2003-01-07 2009-12-31 Nabil Chhaimi Method of recycling an epitaxied donor wafer
KR100874788B1 (ko) * 2003-01-07 2008-12-18 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 박층 박리 후에 박리 구조를 포함하는 웨이퍼의 기계적수단에 의한 재활용 방법
US7838875B1 (en) 2003-01-22 2010-11-23 Tsang Dean Z Metal transistor device
JP4659732B2 (ja) * 2003-01-27 2011-03-30 台湾積體電路製造股▲ふん▼有限公司 半導体層を形成する方法
US6995427B2 (en) * 2003-01-29 2006-02-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Semiconductor structure for providing strained crystalline layer on insulator and method for fabricating same
US6713810B1 (en) * 2003-02-10 2004-03-30 Micron Technology, Inc. Non-volatile devices, and electronic systems comprising non-volatile devices
US6911379B2 (en) * 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
CN100437970C (zh) * 2003-03-07 2008-11-26 琥珀波系统公司 一种结构及用于形成半导体结构的方法
US6949451B2 (en) * 2003-03-10 2005-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. SOI chip with recess-resistant buried insulator and method of manufacturing the same
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US7049660B2 (en) * 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7659539B2 (en) 2003-06-26 2010-02-09 Mears Technologies, Inc. Semiconductor device including a floating gate memory cell with a superlattice channel
US7045813B2 (en) * 2003-06-26 2006-05-16 Rj Mears, Llc Semiconductor device including a superlattice with regions defining a semiconductor junction
US7202494B2 (en) * 2003-06-26 2007-04-10 Rj Mears, Llc FINFET including a superlattice
US7514328B2 (en) * 2003-06-26 2009-04-07 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with a superlattice therebetween
US7598515B2 (en) * 2003-06-26 2009-10-06 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
US20050282330A1 (en) * 2003-06-26 2005-12-22 Rj Mears, Llc Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers
US7612366B2 (en) * 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
AU2004300982B2 (en) * 2003-06-26 2007-10-25 Mears Technologies, Inc. Semiconductor device including MOSFET having band-engineered superlattice
US20070010040A1 (en) * 2003-06-26 2007-01-11 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US20070015344A1 (en) * 2003-06-26 2007-01-18 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions
US7586165B2 (en) * 2003-06-26 2009-09-08 Mears Technologies, Inc. Microelectromechanical systems (MEMS) device including a superlattice
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US7535041B2 (en) * 2003-06-26 2009-05-19 Mears Technologies, Inc. Method for making a semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance
US20060292765A1 (en) * 2003-06-26 2006-12-28 Rj Mears, Llc Method for Making a FINFET Including a Superlattice
US7045377B2 (en) * 2003-06-26 2006-05-16 Rj Mears, Llc Method for making a semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction
US7491587B2 (en) * 2003-06-26 2009-02-17 Mears Technologies, Inc. Method for making a semiconductor device having a semiconductor-on-insulator (SOI) configuration and including a superlattice on a thin semiconductor layer
US7586116B2 (en) * 2003-06-26 2009-09-08 Mears Technologies, Inc. Semiconductor device having a semiconductor-on-insulator configuration and a superlattice
US7033437B2 (en) * 2003-06-26 2006-04-25 Rj Mears, Llc Method for making semiconductor device including band-engineered superlattice
US20060243964A1 (en) * 2003-06-26 2006-11-02 Rj Mears, Llc Method for making a semiconductor device having a semiconductor-on-insulator configuration and a superlattice
US20040266116A1 (en) * 2003-06-26 2004-12-30 Rj Mears, Llc Methods of fabricating semiconductor structures having improved conductivity effective mass
US20070063185A1 (en) * 2003-06-26 2007-03-22 Rj Mears, Llc Semiconductor device including a front side strained superlattice layer and a back side stress layer
US7227174B2 (en) * 2003-06-26 2007-06-05 Rj Mears, Llc Semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction
US7531829B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance
US20060231857A1 (en) * 2003-06-26 2006-10-19 Rj Mears, Llc Method for making a semiconductor device including a memory cell with a negative differential resistance (ndr) device
US20050279991A1 (en) * 2003-06-26 2005-12-22 Rj Mears, Llc Semiconductor device including a superlattice having at least one group of substantially undoped layers
US20060273299A1 (en) * 2003-06-26 2006-12-07 Rj Mears, Llc Method for making a semiconductor device including a dopant blocking superlattice
US7229902B2 (en) * 2003-06-26 2007-06-12 Rj Mears, Llc Method for making a semiconductor device including a superlattice with regions defining a semiconductor junction
US20070063186A1 (en) * 2003-06-26 2007-03-22 Rj Mears, Llc Method for making a semiconductor device including a front side strained superlattice layer and a back side stress layer
US7531850B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a memory cell with a negative differential resistance (NDR) device
US20060011905A1 (en) * 2003-06-26 2006-01-19 Rj Mears, Llc Semiconductor device comprising a superlattice dielectric interface layer
US20060267130A1 (en) * 2003-06-26 2006-11-30 Rj Mears, Llc Semiconductor Device Including Shallow Trench Isolation (STI) Regions with a Superlattice Therebetween
US7531828B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US7446002B2 (en) * 2003-06-26 2008-11-04 Mears Technologies, Inc. Method for making a semiconductor device comprising a superlattice dielectric interface layer
US20060289049A1 (en) * 2003-06-26 2006-12-28 Rj Mears, Llc Semiconductor Device Having a Semiconductor-on-Insulator (SOI) Configuration and Including a Superlattice on a Thin Semiconductor Layer
US7153763B2 (en) 2003-06-26 2006-12-26 Rj Mears, Llc Method for making a semiconductor device including band-engineered superlattice using intermediate annealing
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US20060220118A1 (en) * 2003-06-26 2006-10-05 Rj Mears, Llc Semiconductor device including a dopant blocking superlattice
US6830964B1 (en) * 2003-06-26 2004-12-14 Rj Mears, Llc Method for making semiconductor device including band-engineered superlattice
KR20060039915A (ko) * 2003-07-30 2006-05-09 에이에스엠 아메리카, 인코포레이티드 완화된 실리콘 게르마늄 층의 에피택셜 성장
KR100605504B1 (ko) * 2003-07-30 2006-07-28 삼성전자주식회사 저전위밀도를 갖는 에피텍셜층을 포함하는 반도체 소자 및 상기 반도체 소자의 제조방법
US7045836B2 (en) * 2003-07-31 2006-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a strained region and a method of fabricating same
US7495267B2 (en) * 2003-09-08 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a strained region and a method of fabricating same
US6831350B1 (en) 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US6919258B2 (en) * 2003-10-02 2005-07-19 Freescale Semiconductor, Inc. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US7183593B2 (en) * 2003-12-05 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Heterostructure resistor and method of forming the same
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
DE10360874B4 (de) * 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7064037B2 (en) * 2004-01-12 2006-06-20 Chartered Semiconductor Manufacturing Ltd. Silicon-germanium virtual substrate and method of fabricating the same
JP4507604B2 (ja) * 2004-01-16 2010-07-21 信越半導体株式会社 貼り合せ歪みウェーハの歪み量測定方法
US7351994B2 (en) * 2004-01-21 2008-04-01 Taiwan Semiconductor Manufacturing Company Noble high-k device
GB2411047B (en) * 2004-02-13 2008-01-02 Iqe Silicon Compounds Ltd Compound semiconductor device and method of producing the same
JP3884439B2 (ja) * 2004-03-02 2007-02-21 株式会社東芝 半導体装置
FR2868202B1 (fr) * 2004-03-25 2006-05-26 Commissariat Energie Atomique Procede de preparation d'une couche de dioxyde de silicium par oxydation a haute temperature sur un substrat presentant au moins en surface du germanium ou un alliage sicicium- germanium.
US7244958B2 (en) * 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7151285B2 (en) * 2004-06-30 2006-12-19 Micron Technology, Inc. Transistor structures and transistors with a germanium-containing channel
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7279430B2 (en) 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
US7755137B2 (en) * 2004-10-07 2010-07-13 Fairchild Semiconductor Corporation Bandgap engineered MOS-gated power transistors
US7504691B2 (en) * 2004-10-07 2009-03-17 Fairchild Semiconductor Corporation Power trench MOSFETs having SiGe/Si channel structure
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
KR100639213B1 (ko) * 2004-12-28 2006-10-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20060151787A1 (en) * 2005-01-12 2006-07-13 International Business Machines Corporation LOW CONCENTRATION SiGe BUFFER DURING STRAINED Si GROWTH OF SSGOI MATERIAL FOR DOPANT DIFFUSION CONTROL AND DEFECT REDUCTION
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US8110863B2 (en) * 2005-06-01 2012-02-07 Sandisk 3D Llc TFT charge storage memory cell having high-mobility corrugated channel
TWI258172B (en) * 2005-08-24 2006-07-11 Ind Tech Res Inst Transistor device with strained Ge layer by selectively grown and fabricating method thereof
EP1763069B1 (en) * 2005-09-07 2016-04-13 Soitec Method for forming a semiconductor heterostructure
US7902046B2 (en) * 2005-09-19 2011-03-08 The Board Of Trustees Of The Leland Stanford Junior University Thin buffer layers for SiGe growth on mismatched substrates
US7517702B2 (en) * 2005-12-22 2009-04-14 Mears Technologies, Inc. Method for making an electronic device including a poled superlattice having a net electrical dipole moment
US20070187667A1 (en) * 2005-12-22 2007-08-16 Rj Mears, Llc Electronic device including a selectively polable superlattice
US7718996B2 (en) * 2006-02-21 2010-05-18 Mears Technologies, Inc. Semiconductor device comprising a lattice matching layer
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US7608526B2 (en) * 2006-07-24 2009-10-27 Asm America, Inc. Strained layers within semiconductor buffer structures
US7781827B2 (en) 2007-01-24 2010-08-24 Mears Technologies, Inc. Semiconductor device with a vertical MOSFET including a superlattice and related methods
US7928425B2 (en) * 2007-01-25 2011-04-19 Mears Technologies, Inc. Semiconductor device including a metal-to-semiconductor superlattice interface layer and related methods
US7564096B2 (en) * 2007-02-09 2009-07-21 Fairchild Semiconductor Corporation Scalable power field effect transistor with improved heavy body structure and method of manufacture
US7880161B2 (en) * 2007-02-16 2011-02-01 Mears Technologies, Inc. Multiple-wavelength opto-electronic device including a superlattice
US7863066B2 (en) * 2007-02-16 2011-01-04 Mears Technologies, Inc. Method for making a multiple-wavelength opto-electronic device including a superlattice
US7569869B2 (en) * 2007-03-29 2009-08-04 Intel Corporation Transistor having tensile strained channel and system including same
US7812339B2 (en) * 2007-04-23 2010-10-12 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with maskless superlattice deposition following STI formation and related structures
US7531854B2 (en) * 2007-05-04 2009-05-12 Dsm Solutions, Inc. Semiconductor device having strain-inducing substrate and fabrication methods thereof
US7795605B2 (en) * 2007-06-29 2010-09-14 International Business Machines Corporation Phase change material based temperature sensor
US7791063B2 (en) * 2007-08-30 2010-09-07 Intel Corporation High hole mobility p-channel Ge transistor structure on Si substrate
FR2921749B1 (fr) * 2007-09-27 2014-08-29 Soitec Silicon On Insulator Procede de fabrication d'une structure comprenant un substrat et une couche deposee sur l'une de ses faces.
KR100922575B1 (ko) * 2007-12-05 2009-10-21 한국전자통신연구원 티형 게이트 전극을 구비한 반도체 소자 및 그의 제조 방법
US7825465B2 (en) * 2007-12-13 2010-11-02 Fairchild Semiconductor Corporation Structure and method for forming field effect transistor with low resistance channel region
FR2929758B1 (fr) * 2008-04-07 2011-02-11 Commissariat Energie Atomique Procede de transfert a l'aide d'un substrat ferroelectrique
US7759142B1 (en) 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
US8222657B2 (en) * 2009-02-23 2012-07-17 The Penn State Research Foundation Light emitting apparatus
US8384128B2 (en) 2009-05-15 2013-02-26 Intel Corporation Carrier mobility in surface-channel transistors, apparatus made therewith, and systems containing same
US8476647B2 (en) * 2009-09-25 2013-07-02 Hewlett-Packard Development Company, L.P. Silicon-germanium, quantum-well, light-emitting diode
JP2011138934A (ja) * 2009-12-28 2011-07-14 Sony Corp 薄膜トランジスタ、表示装置および電子機器
US8193523B2 (en) * 2009-12-30 2012-06-05 Intel Corporation Germanium-based quantum well devices
CN102194859B (zh) * 2010-03-05 2013-05-01 中国科学院微电子研究所 高迁移率ⅲ-ⅴ族半导体mos界面结构
JP6017125B2 (ja) * 2011-09-16 2016-10-26 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8963162B2 (en) 2011-12-28 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor
US9214577B2 (en) 2012-02-28 2015-12-15 International Business Machines Corporation Reduced light degradation due to low power deposition of buffer layer
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US20130295757A1 (en) * 2012-05-04 2013-11-07 BAE Systems Information and Electronic Systems Integration Inc. Short gate-length high electron-mobility transistors with asymmetric recess and self-aligned ohmic electrodes
EP2701198A3 (en) * 2012-08-24 2017-06-28 Imec Device with strained layer for quantum well confinement and method for manufacturing thereof
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
EP2741320B1 (en) * 2012-12-05 2020-06-17 IMEC vzw Manufacturing method of a finfet device with dual-strained channels
US9252270B2 (en) * 2012-12-13 2016-02-02 Globalfoundries Singapore Pte. Ltd. Floating body cell
US9276077B2 (en) * 2013-05-21 2016-03-01 Globalfoundries Inc. Contact metallurgy for self-aligned high electron mobility transistor
US9231094B2 (en) * 2013-05-21 2016-01-05 Globalfoundries Inc. Elemental semiconductor material contact for high electron mobility transistor
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
CN106104805B (zh) 2013-11-22 2020-06-16 阿托梅拉公司 包括超晶格穿通停止层堆叠的垂直半导体装置和相关方法
WO2015077580A1 (en) 2013-11-22 2015-05-28 Mears Technologies, Inc. Semiconductor devices including superlattice depletion layer stack and related methods
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
WO2015191561A1 (en) 2014-06-09 2015-12-17 Mears Technologies, Inc. Semiconductor devices with enhanced deterministic doping and related methods
US9722046B2 (en) 2014-11-25 2017-08-01 Atomera Incorporated Semiconductor device including a superlattice and replacement metal gate structure and related methods
US9472575B2 (en) * 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
WO2016187042A1 (en) 2015-05-15 2016-11-24 Atomera Incorporated Semiconductor devices with superlattice layers providing halo implant peak confinement and related methods
US9721790B2 (en) 2015-06-02 2017-08-01 Atomera Incorporated Method for making enhanced semiconductor structures in single wafer processing chamber with desired uniformity control
US9558939B1 (en) 2016-01-15 2017-01-31 Atomera Incorporated Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source
FR3076394A1 (fr) * 2018-01-04 2019-07-05 Stmicroelectronics (Rousset) Sas Espaceurs de transistors mos et leur procede de fabrication
US10510871B1 (en) 2018-08-16 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350940A (en) 1984-02-02 1994-09-27 Fastran, Inc. Enhanced mobility metal oxide semiconductor devices
JPS61294877A (ja) 1985-06-24 1986-12-25 Nippon Telegr & Teleph Corp <Ntt> 半導体装置
US5298452A (en) 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPS63252478A (ja) 1987-04-09 1988-10-19 Seiko Instr & Electronics Ltd 絶縁ゲ−ト型半導体装置
DE68926256T2 (de) 1988-01-07 1996-09-19 Fujitsu Ltd Komplementäre Halbleiteranordnung
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5019882A (en) 1989-05-15 1991-05-28 International Business Machines Corporation Germanium channel silicon MOSFET
US5272365A (en) 1990-03-29 1993-12-21 Kabushiki Kaisha Toshiba Silicon transistor device with silicon-germanium electron gas hetero structure channel
US5223724A (en) 1990-07-31 1993-06-29 At & T Bell Laboratories Multiple channel high electron mobility transistor
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JPH0691249B2 (ja) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 変調ドープ形misfet及びその製造方法
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
US5259918A (en) 1991-06-12 1993-11-09 International Business Machines Corporation Heteroepitaxial growth of germanium on silicon by UHV/CVD
JP2727818B2 (ja) 1991-09-17 1998-03-18 日本電気株式会社 半導体装置
JP3144032B2 (ja) 1992-03-30 2001-03-07 ソニー株式会社 薄膜トランジスタ及びその製造方法
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5385853A (en) 1992-12-02 1995-01-31 International Business Machines Corporation Method of fabricating a metal oxide semiconductor heterojunction field effect transistor (MOSHFET)
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
US5436474A (en) 1993-05-07 1995-07-25 Board Of Regents Of The University Of Texas System Modulation doped field effect transistor having built-in drift field
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5985703A (en) 1994-10-24 1999-11-16 Banerjee; Sanjay Method of making thin film transistors
US5686744A (en) 1996-06-17 1997-11-11 Northern Telecom Limited Complementary modulation-doped field-effect transistors
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7138650B2 (en) 2001-08-06 2006-11-21 Sumitomo Mitsubishi Silicon Corporation Semiconductor substrate, field-effect transistor, and their manufacturing method of the same
CN1723545B (zh) * 2003-01-14 2010-05-05 校际微电子中心 半导体器件与生长薄应变弛豫缓冲层的方法
CN100517614C (zh) * 2003-08-29 2009-07-22 国际商业机器公司 高电子迁移率层结构及其制备方法
CN100447950C (zh) * 2007-01-26 2008-12-31 厦门大学 低位错密度锗硅虚衬底的制备方法
CN101657903B (zh) * 2007-03-29 2015-07-22 英特尔公司 用于形成量子阱结构的远距离δ掺杂层的机制
US8264004B2 (en) 2007-03-29 2012-09-11 Intel Corporation Mechanism for forming a remote delta doping layer of a quantum well structure
US9590068B2 (en) 2009-04-01 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. High-mobility multiple-gate transistor with improved on-to-off current ratio
US8816391B2 (en) 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
US10109748B2 (en) 2009-04-01 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. High-mobility multiple-gate transistor with improved on-to-off current ratio
CN101853882B (zh) * 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US8674341B2 (en) 2009-04-01 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. High-mobility multiple-gate transistor with improved on-to-off current ratio
US8927371B2 (en) 2009-04-01 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. High-mobility multiple-gate transistor with improved on-to-off current ratio
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US8674408B2 (en) 2009-04-30 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US10269970B2 (en) 2009-05-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US9006788B2 (en) 2009-06-01 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8455858B2 (en) 2010-04-16 2013-06-04 Tsinghua University Semiconductor structure for reducing band-to-band tunneling (BTBT) leakage
WO2011127727A1 (zh) * 2010-04-16 2011-10-20 清华大学 一种防漏电的半导体结构
US8455929B2 (en) 2010-06-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of III-V based devices on semiconductor substrates
CN105826387A (zh) * 2015-01-23 2016-08-03 三星电子株式会社 半导体衬底和包括其的半导体器件
CN105826387B (zh) * 2015-01-23 2021-10-19 三星电子株式会社 半导体衬底和包括其的半导体器件
CN111213241A (zh) * 2018-06-30 2020-05-29 魏进 半导体器件、半导体设备及其制造方法
CN111213241B (zh) * 2018-06-30 2023-09-22 魏进 半导体器件、半导体设备及其制造方法

Also Published As

Publication number Publication date
JP2000286413A (ja) 2000-10-13
IL134674A0 (en) 2001-04-30
US20020125475A1 (en) 2002-09-12
IL134674A (en) 2004-05-12
DE10011054A1 (de) 2000-09-21
TW498461B (en) 2002-08-11
CN1184695C (zh) 2005-01-12
JP3457614B2 (ja) 2003-10-20
US7084431B2 (en) 2006-08-01
US6350993B1 (en) 2002-02-26
US20040227154A1 (en) 2004-11-18
US6858502B2 (en) 2005-02-22

Similar Documents

Publication Publication Date Title
CN1289149A (zh) 用于场效应器件的高速复合p沟道Si/SiGe异质结构
KR100441469B1 (ko) 전계 효과 장치용 고속 게르마늄 채널 이종구조물
US7145167B1 (en) High speed Ge channel heterostructures for field effect devices
US6472685B2 (en) Semiconductor device
US6916727B2 (en) Enhancement of P-type metal-oxide-semiconductor field effect transistors
US7098057B2 (en) Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US7951684B2 (en) Semiconductor device method of manfacturing a quantum well structure and a semiconductor device comprising such a quantum well structure
US7301180B2 (en) Structure and method for a high-speed semiconductor device having a Ge channel layer
US6949761B2 (en) Structure for and method of fabricating a high-mobility field-effect transistor
Hackbarth et al. Strain relieved SiGe buffers for Si-based heterostructure field-effect transistors
Vasileska et al. Doping dependence of the mobility enhancement in surface-channel strained-Si layers
US5389802A (en) Heterojunction field effect transistor (HJFET) having an improved frequency characteristic

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1065264

Country of ref document: HK

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050112

Termination date: 20120302