CN1277951C - 表面处理装置 - Google Patents

表面处理装置 Download PDF

Info

Publication number
CN1277951C
CN1277951C CNB031052428A CN03105242A CN1277951C CN 1277951 C CN1277951 C CN 1277951C CN B031052428 A CNB031052428 A CN B031052428A CN 03105242 A CN03105242 A CN 03105242A CN 1277951 C CN1277951 C CN 1277951C
Authority
CN
China
Prior art keywords
fin
stream
substrate
surface processing
processing device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB031052428A
Other languages
English (en)
Other versions
CN1441083A (zh
Inventor
佐护康实
池田真义
金子一秋
冈田拓士
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ANNEWHA Co Ltd
Original Assignee
ANNEWHA Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ANNEWHA Co Ltd filed Critical ANNEWHA Co Ltd
Publication of CN1441083A publication Critical patent/CN1441083A/zh
Application granted granted Critical
Publication of CN1277951C publication Critical patent/CN1277951C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Abstract

本发明提供一种表面处理装置,实现可有效地对需要温度控制的部分进行冷却或加热、并在所需的温度下均匀地进行控制的热交换器,由此可连续地进行稳定的处理。在具有排气机构和气体导入机构的处理室的内部,具备放置基板的基板放置台和气体排放机构,通过上述气体排放机构,朝向基板排放的气体或其反应生成物,对基板进行处理,其特征在于:基板放置台、气体排放机构或处理室具备热交换器,该热交换器是,在二个板状体之间设置有分隔壁,形成流路,使流体流过该流路,将上述板状体或与上述板状体接触的部件冷却或加热至规定的温度,即,分别在上述流路的内部的二个板状体上,与流路平行或具有规定的角度地设置翼片。

Description

表面处理装置
技术领域
本发明涉及一种表面处理装置,特别涉及半导体表面处理装置等所采用的温度控制性优异的热交换器。
背景技术
半导体器件、液晶显示面板的制造所采用的溅镀装置、蚀刻装置等的等离子处理装置通过等离子体或离子的冲击,对基板、对向电极、靶(target)等进行加热。因此,为了连续地进行稳定的处理,设置有冷却机构,该冷却机构保持规定温度,以防止温度的上升。
比如,如图6(特开平6-172988号公报)所示,溅射装置的靶的冷却机构的结构是,在支承靶101的支承板102的内面,形成构成冷却水路的凹部,以覆盖该凹部的方式,将其机构固定于靶座103上。在支承板的内面侧,设置有翼片(fin)104,该翼片104对板进行增强,形成冷却水的水路106,通过下述冷却水,对靶101进行冷却,该冷却水从冷却水入口105供给,通过水路106,从出口107排出。这样,通过在支承板上设置增强翼片104,减小支承板的厚度,其结果是,使靶的冷却效率提高。
同样在蚀刻装置的基板放置台、对向电极等的情况下,在其内部形成有制冷剂的流路,通过使制冷剂流过该流路,使基板、气体排放板维持在规定温度,实现稳定的处理。
但是,如果LSI等的半导体器件进行高集成化处理,进行细微化处理,形成比如0.13μm的设计尺寸,则通过上述结构的冷却结构,冷却能力不足,在基板面内,产生温度分布,难于维持蚀刻速度的均匀性。因此,需要降低制冷剂温度,或增加制冷剂流量,提高冷却能力,伴随该情况,制冷剂泵、冷却器等的恒温槽的负荷增加,其造成装置成本的增加。
另外,由于所形成的接触孔等的形状伴随基板温度而大幅度地变化,故即使在一个基板的处理中,仍需要在从蚀刻处理开始到结束的期间,尽可能地减小基板温度上升。为此,需要提高冷却机构的热传导率,以便能够采用更高温度的制冷剂。
于是,本发明人在对热交换器的内部结构与冷却效率之间的关系进行调查、分析的过程中知道,通过在流路内设置翼片,可使冷却效率提高,另外,使翼片与流动方向相平行,则传导性不怎么降低,可改善冷却效率。
另外,为了提高冷却效率,优选尽可能地减小翼片与翼片之间的间隔。如果小于某种程度,则具有下述问题,即,切削工具无法进入槽内,切削工具的宽度以下的翼片结构无法加工,另外难以进行更高密度的处理。于是,对通过焊接等方式安装翼片的情况进行了分析,可知道,在焊接部分,热传导率降低,另外,不能够正确地定位,流动不均匀,反之,在基板面内,产生温度分布,这对蚀刻特性及其均匀性造成影响。
发明内容
本发明是为了解决上述的问题而提出的,本发明的目的在于提供一种表面处理装置,实现可有效地对需要温度控制的部分进行冷却或加热、并在所需的温度下均匀地进行控制的热交换器,将该热交换器安装于基板放置台、气体排放机构或处理室壁等上,可连续地进行稳定的处理。
本发明的表面处理装置,在具有排气机构和气体导入机构的处理室的内部,具备放置基板的基板放置台和与该基板放置台对向设置且与上述气体导入机构连接的气体排放机构,该表面处理装置通过上述气体排放机构,朝向上述基板排放的气体或其反应生成物,对基板进行处理,其特征在于:
上述基板放置台、上述气体排放机构或上述处理室具备热交换器,
该热交换器是,在两个板状体之间设置有分隔壁,形成流路,使流体流过该流路,将上述板状体或与上述板状体接触的部件冷却或加热至规定的温度,即,分别在上述流路的内部的两个板状体上,与流路平行或具有规定的角度地设置翼片,该翼片相互间隔开的、且所述翼片的高度以前端部相互重合的方式设定,以便将流体不完全切断的结构。
另外,预先在上述两个板状体中的至少一个上形成有构成上述流路的壁的凸部,将该两个板状体叠合,形成上述流路。
这样,分别在两个板状体上形成翼片,各个翼片按照相互间隔开的方式叠合,由此,可构成在流路内部设置有高密度的翼片的热交换器,热传导率大幅地提高,温度控制性、面内均匀性得以改善。通过在基板放置台和气体排放机构等中采用这样的热交换器,可使表面处理装置的处理均匀性和稳定性大幅度地提高。而且,由于可使流体循环泵和恒温槽小型化,故可减小整个装置的成本。
另外,翼片的配置结构,既可采用在整个流路设置连续的翼片的结构,也可采用以规定间隔隔开的方式配置规定长度的翼片的结构。
其特征在于:从流体的流动方向的垂直方向来看分别设置于上述两个板状体上的翼片,是以相互重合的方式配置的。通过这样的结构,则热传导系数进一步提高。而且,由于采用将流体内部不完全切断的结构,故流体在由翼片切断的各个小流路之间移动,即使在小流路的传导性不同的情况下,温度均匀性仍提高。
而且,优选在上述板状体的面内,上述流路的截面积相同,并且在该面内均匀地配置上述流路。
其特征在于:在与上述流路的流体的流动方向垂直的截面中,与流体接触的长度,跟没有翼片的情况相比,为3倍以上。换言之,流路的截面长度跟没有翼片的情况相比,为3倍以上。即,通过使与流体的接触面积为3倍以上,例如,在基板放置台的情况下,可在基板面内实现0.2℃以下的温度均匀性。
再者,其特征在于:在上述流路内设置有连接上述两个板状体的连接翼片,使该连接翼片比上述翼片的宽度厚。通过在流路的一部分上设置连接翼片,将来自其中一个板状体的进入热量通过连接翼片传递给另一板状体,因此,例如适用于象基板的冷却机构那样、热量从其中一个板状体进入的情况。
附图说明
图1为表示本发明的热交换器的结构的示意性剖视图。
图2为表示本发明的蚀刻装置的构成例的示意性剖视图。
图3为表示蚀刻装置的基板放置电极所采用的热交换器的一个实例的示意性横向剖视图。
图4为表示蚀刻处理的基板(基板放置电极)的温度变化的示意图。
图5为表示基板温度与蚀刻速度之间的关系的曲线图。
图6为表示靶支承板的热交换器的平面图和剖视图。
符号说明:1处理室,2对向电极(气体排放机构),3框架,4气体分散板,5热交换器,6气体板,5a气体通路,5b制冷剂通路,5c制冷剂导入管,7基板放置电极,8热交换器,8a导入口,8b排出口,8c流路,8d翼片,9静电夹盘,10气体导入管,12a、12b绝缘体,13阀,14、15高频电源,16隔直流电容器,17直流电源,18高频截止滤波器,19突出销,20贯通孔,21波纹管,21a底板,22气体供给系统,40基板,50、60板状体,51上板翼片,61下板翼片,62流路壁,70流路。
具体实施方式
下面参照附图,对本发明的实施方式进行描述。
图1表示本发明的第一实施方式。图1为表示本发明的表面处理装置所采用的热交换器的一部分的示意性剖视图。
如图1(a)所示,热交换器由两块板状体50、60构成,其之间的空间由分隔壁(流路壁)62分隔开,形成流路70。在流路的两端部,设置有未图示的流体导入口和排出口。另外,在流路内的上侧的板状体50(以下简称为上板)和下侧的板状体60(以下简称为下板)上,安装有多个翼片51、61。
该翼片的高度按照上板翼片51和下板翼片61的前端部重合的方式设定。这样,未通过上板翼片51和下板翼片61将流路内完全隔断的原因在于即使在通过各翼片隔开的小流路的传导性不同的情况下,在各小流路之间,仍有流体往来,使整个热交换器的冷却能力均匀。
另外,每个翼片的长度没有特别的限制。既可以隔开规定的间隔在流路全长范围内形成规定长度的翼片,也可以设置具有流路全长的长度的翼片。翼片如图所示,按照与流体的流动方向平行的方式安装,但是,在翼片的长度较短的情况下,也可按照与流路形成规定的角度(比如,30°左右以下)的方式设置。
在这里,翼片的间隔P优选5mm以下,特别是优选3mm以下。另外,翼片的高度H优选为10~20mm的范围内,以较高密度设置具有这样高度的翼片,由此,可大幅度地改善流体和上板及下板之间的热传导,比如,以良好的精度对与上板接触的基板等的温度进行控制。
此外,在流路的截面上,与未按照与流体接触的长度设置翼片的情况相比较,优选以3倍以上的方式形成翼片。由此,可使冷却效率提高,流体循环用的泵和冷却器等的恒温槽的负荷减少,可采用小型、简易的装置。另外,如后所述,通过在蚀刻装置的基板放置台上使用,即使采用制冷剂的流量、温度与目前相同的装置,仍可使蚀刻处理装置的基板温度的面内分布达到±0.2℃以下,可按照0.13μm的设计尺寸,获得±1.0%以下的蚀刻均匀性。
由于在具有以上这样的高密度的翼片的热交换器中,翼片的高度与间隔之比增加,切削工具无法进入,故其如下述这样制造。
如图1(b)所示,在下板60和上板50这两者上,形成切削工具刚好进入的翼片。即,对下板部件60进行切削加工,以规定间隔形成构成下板翼片61和流路壁62的突出部62’。另一方面,也同样地对上板部件50进行切削加工,形成上板翼片51。接着,按照翼片对合的方式使下板60和上板50嵌合,通过焊接或粘接方式,固定下板的突出部62’的顶端部与上板的接触部。在这里,适宜采用下述方法,在该方法中,使粘接面带有银焊剂等,将该粘接面重合,从其上方照射激光,使银焊剂熔化,实现粘接。通过该方法,可减小银焊剂的量,另外,还可避免银焊剂熔化而集中于一个部位后将流路堵塞的问题。这样,可形成比切削工具可进入的尺寸的一半窄的间隔的翼片,可制造热传导率较大的热交换器。
在图1的实例中,形成这样的结构,即,全部的翼片不与对向的板状体连接的结构,但也可采用下述这样的结构,即,其中一部分翼片与对向的板状体连接。此时,优选连接翼片的宽度比普通的翼片宽,通过设置这样的连接翼片,例如可将热量通过连接翼片从上板侧传递到下板侧,可进一步增加热传递,同时可使热交换器的机械强度增加。
在这里,对在流路内设置有七个翼片的图1的热交换器与未设置翼片的目前的热交换器的性能进行具体地比较。
图1的结构的热交换器具有下述结构,即,流路的高度和宽度分别为17mm和21.4mm,在该流路中,高度为15mm、宽度为1.8mm的翼片以1.1mm的间隔设置七个,具有这样高密度的翼片结构的热交换器按照上述的方法制作。
在该流路中,流过以下所示的物性的ガルデンHT135(アウジモント制造)压力为3kgf/cm2,流量为10(1/min)的情况,可如下这样求出流体与上板的热传导系数和温度差。
流过流路的上板或下板的热传导系数hc近似地按照下述公式得出。
hc=0.023·v-0.4·λ0.6·ρ0.4·Cp0.4·u0.8·d-0.2
其中,v表示动力粘度,λ表示热传导率,ρ表示密度,Cp表示比热,u表示代表速度,d表示代表长度。
在上述的翼片结构中,流路的截面长度L为286.8mm,并且截面积S为174.8mm2
此外,由于代表长度d是指流路截面积除以截面长度的一半的商,故
d=S/(L/2)=1.218mm
流量V=1.667·10-4m3/s,
代表速度u=V/S=0.954m/s。
另一方面,在未设置翼片的现有结构的流路中,
由于截面长度L’=76.8mm,截面积S’=363.8mm2,故
代表长度d’=9.47mm,代表速度u’=0.458m/s。
因此可知,热传导率hc与hc’之比为:
hc/hc’=(u/u’)0.8·(d/d’)-0.2
       =(0.958/0.458)0.8·(1.218/9.48)-0.2
       =2.72
通过设置上述结构的翼片,热传导系数为2.72倍。
在此,如果代入下述的ガルデン的物性:
动力粘度v        10-6m2/s
密度ρ                        1.73·103kg/m3
热传导率λ                 0.065W/m·℃
比热Cp          0.966kJ/kg·℃
求出热传导系数,则
hc=1273W/(m2·℃)。
例如,对蚀刻装置的基板放置台采用以上的热交换器的情况进行考察。入射至蚀刻时的基板的热量Q为1.25W/cm2时的流体与上板的温度差ΔT如下述这样求出。
若包括翼片的面积,图1的热交换器的热传导为(L/L’)倍,即,3.73倍,而且,在上板和下板,再增加2倍,热传导为7.47倍。
因此,制冷剂与上板或下板之间的温度差ΔT
Q=hc·ΔT
1.25·1 04(W/m2)=1273(W/m2·℃)·7.47·ΔT
ΔT=1.31℃
同样,没有翼片的情况的温度差ΔT’
ΔT’=13.5℃。
因此,由于通过形成图1的翼片结构,例如在冷却机构的情况下,使流体的温度比目前的结构高出12℃以上,故冷却器等的恒温槽的负荷变小,可采用简易的恒温槽。另外,可使制冷剂的流量较少,可使泵和恒温槽小型化。而且,如后所述,蚀刻形状的均匀性提高。
图2和图3表示本发明的第二实施方式。
图2为表示本发明的蚀刻装置的一个结构例的示意性剖视图。
如图2所示,在蚀刻装置的处理室1的内,对向电极(气体排放机构)2和放置有基板40的基板放置电极7以对向方式设置,分别通过绝缘体12a、12b固定于处理室1中。处理室内部通过阀13与排气机构(未图示)连接。对向电极2与等离子体发生用的第一高频电源14连接,并且与气体导入机构连接,该气体导入机构由气体导入管10和气体供给系统22构成,该气体供给系统22由储气瓶、质量流控制器和截止阀等构成。
对向电极2由气体分散机构、热交换器5、气体板6构成,在该气体分散机构的内部,设置一个或多个具有多个小孔4a的气体分散板4,该热交换器5具有多条气体通路5a,该气体板6具有与气体通路5a连通的排气孔6a,这些部件设置于圆筒状的框架3的内部,并固定于其中。在该热交换器5的内部,设置有制冷剂通路5b,在流路内部,如上述的那样,翼片安装于上下板上。制冷剂从制冷剂导入管5c通过设置于例如框架3上的管,供给到制冷剂通路5b,通过制冷剂排出管5d而排出。
通过气体导入管10而供给到对向电极内部的处理气体通过气体分散板4中的小孔4a,在气体分散机构的整个内部均匀地扩展,然后,通过热交换器5的气体通路5a,从气体板6的排气孔6a,均匀地流向处理室1的内部。
另一方面,基板放置电极7由热交换器8和静电夹盘9构成,该热交换器8具有在内部设置有翼片的制冷剂流路,该静电夹盘9以静电方式将基板吸附于其顶部。制冷剂通过导入口8a而供给,通过排出口8b而排出。通过该制冷剂,借助静电夹盘,将基板冷却到规定的温度。该基板放置电极7与基板的旁路控制用的第二高频电源15和基板静电吸附用的直流电源17连接。另外,在第二高频电源15和直流电源17与基板放置电极7之间,安装有隔直流电容器16和高频截止滤波器18,防止相互的干扰。
还有,在基板放置电极7上,形成有贯通孔20,突出销19穿过该贯通孔20,该突出销19用于在基板搬出搬入时,沿上下使基板40移动,上述贯通孔通过波纹管21和底板21a,与大气隔绝。在该底板21a上,固定有突出销19。
在这里,参照图3,对构成基板放置电极7的热交换器8进行描述。
图3为表示热交换器8的下板的横向剖视图。通过分隔壁(流路壁)62,形成有流路,制冷剂从导入口8a,通过流路8c,在排出口8b流出。通过设置于该流路的内部的下板翼片61,与制冷剂的接触面积增加,冷却效率提高。另外,还在上板侧设置有翼片,其按照插入下板翼片61之间的方式形成,虽然这一点在图中未示出。
这样在流路中设置翼片的结构与增长流路的情况相比较,可增加传导性,可增加流量。其结果是,冷却效率大幅度地提高。另外,如图3所示,由于通过使流路相对于连接导入口8a和排出口8b的线保持对称,两个流路的传导性相同,故基板温度的均匀性进一步提高。
此外,图3的翼片不在流路的全长的范围内连续,而是断开的,但是在这样的结构的情况下,由从断开位置63到下一断开位置的翼片分隔的各小流路的长度的差值优选为平均±20%以下。通过形成这样的范围,可减小热传导的差异而造成的温度差,可应对0.13μm的设计尺寸。
另外,在基板放置电极上,开设有突出销的贯通孔20,但是,优选该贯通孔设置于平行地延伸的小流路中的中心附近部分,消除内侧的传导性和外侧的传导性的差异,形成相同程度的传导,基板温度的均匀性进一步提高。
再有,还可在翼片与流路壁对合的位置,设置有传导调整间隙64,调整翼片之间的小流路间的传导的差异。在该附图的情况下,越是内周的翼片,翼片与流路壁之间的间隙越窄。另外,也可调整各小流路的宽度,以便弥补小流路的长度的差异。
通过形成以上这样的结构,可实现下述热交换器,该热交换器的冷却效率较高,热交换器面内的温度均匀性优异。
采用图2的装置的蚀刻处理,例如,如下述这样进行。利用驱动机构,将波纹管21的底板21a上推,使突出销19上升。在该状态下,通过闸阀(未图示),插入保持有基板的机械手,将基板放置于突出销19上。接着,使突出销下降,将基板40放置于静电夹盘9上,由直流电源17施加规定的电压,以静电方式吸住基板。
接着,从气体供给系统22,通过气体导入管10、对向电极2,将处理气体供给到处理室1内,将其设定为规定的压力。然后,在对向电极2上,由第一高频电源14施加VHF频带(比如,60MHz)的高频电力,在基板放置电极7上,由第二高频电源15施加HF频带(比如,1.6MHz)的高频电力。通过VHF频带的高频功率,产生高密度的等离子体,生成有助于蚀刻的活性物质。另一方面,可通过HF频带的高频功率,独立于等离子体密度,对离子能量进行控制。即,可通过适宜选择两个高频电力,获得所需的蚀刻特性。
如上所述,由于本发明的基板放置电极的冷却效率较高,故与目前比较,可将制冷剂温度设定在较高值。由此,不仅具有可减小冷却机构负荷的优点,而且可大大地改善蚀刻特性,以良好的生产性,进行稳定的蚀刻处理。下面对其进行描述。
图4为表示在反复连续地将多个基板搬入、蚀刻处理、搬出时、基板放置电极的表面温度变化的情况的示意图。另外,由于在硅这样的基板的情况下,热传导率较高,故可认为产生与基板放置电极表面相同的温度变化。图中的实线和虚线分别为采用本实施方式和目前的热交换器时的温度变化。在该图中,to表示一块基板的处理时间。
如图4所示,如果反复进行处理,则基板放置电极的表面温度慢慢地上升,在进行多块基板的处理后,到达正常状态,可进行稳定的蚀刻处理。在本实施方式的情况下,如上所述,处理开始时和正常状态的温度差TA小于现有例的温度差TB,另外,在较短时间内到达正常状态(tA<tB)。因此,到达正常状态之前,所走过的无效(dummy)基板的张数也变少,无用的处理可减少,生产性提高。
另外可知,在到达正常状态后,根据一张的处理期间to的基板的温度上升量(T’)和到达上升的时间(t’),蚀刻形状和蚀刻速度大幅度地变化。
可认为产生该情况的原因在于:在蚀刻处理中产生的蚀刻气体的聚合物膜的量伴随温度而大幅度地变化。在膜附着量适合的情况下,可形成下述孔形状,其附着于孔内的侧壁等上,抑制横向的蚀刻,具有接近垂直的侧壁,但是,如果大量地产生、附着,则深度方向的蚀刻速度降低,根据不同情况,蚀刻停止。由此,根据基板温度,对在蚀刻气体中添加的氧气量、RF功率等的处理条件进行适当处理。但是,如现有例那样,在基板的温度上升量(TB’)较大且到达稳定时的时间(tB’)较长的处理条件下,不能对应接触孔直径的微小变化,不能够通过氧气量等的适当处理,形成具有垂直侧壁的孔。
与此相反,在本实施方式的情况下,由于基板温度变化较小(TA’<TB’),而且在较短时间实现稳定(tA’<tB’),故容易进行适当处理,即使在为较高的纵横比的微小接触孔的情况下,仍可形成垂直的侧壁。
图5表示作为一个实例的、采用图2所示的装置进行SiO2膜的蚀刻时的、基板温度与蚀刻速度之间的关系。
蚀刻气体采用C4F8(13.3ml/min)/Ar(300ml/min)/O2(6ml/min),压力为4Pa,向对向电极供给1.8kW(60MHz)的电力,向基板放置电极供给1.8kW(60MHz)的电力,进行蚀刻处理。在此,为了进一步改善静电夹盘与基板之间的热传导,静电夹盘的静电吸附力为1kgf/cm2,从贯通孔20将4kPa、0.5sccm的He气体供给到基板背面。另外,对向电极的温度为60℃。
例如,在基板温度为50℃的情况下,将基板面内的温度差抑制在0.5℃以下,由此,可使0.13μm的接触孔的均匀性为1%以下,且形成具有垂直侧壁的接触孔。
此外,图3所示的结构的热交换器还适合用于比如蚀刻装置的气体排放机构(对向电极)。
以上说明了为了冷却基板采用制冷剂作为热交换流体的情况,但是,显然,通过采用温度较高的制热剂来代替制冷剂,将基板等保持在较高的温度。对于热交换所采用的流体没有特别的限制,除了上述的ガルデン以外,还可采用水、フロリナ-ト(商品名)等各种的流体。另外,热交换器的板状体也不必为平板,其也可为弯曲的。
本发明的热交换器和基板放置台不限于上述的蚀刻装置,其适合除了等离子体CVD装置、热CVD装置等的对向电极、气体排放机构和基板保持机构以外,也适用于处理容器的壁等的温度调整,另外,对于离子注入装置、退火装置等,也是同样的,另外,还可适用于曝光装置的基板放置台。
发明效果
如上所述,根据本发明,由于高密度的翼片进行热传递,例如可有效地对基板温度进行控制,将其维持在规定的温度。另外,由于可均匀地对基板进行冷却加热处理,使基板温度保持在一定值,处理均匀性提高,并且即使在例如基板保持采用静电夹盘的情况下,仍可防止其发生弯曲、开裂等情况。
由此,在蚀刻处理中,可在整个基板表面上,使蚀刻速度、抗蚀剂选择比、孔内选择比、接触孔形状、残渣量保持均匀。另外,在热CVD、等离子体CVD处理中,均获得堆积速度的均匀性,可在灰化(Ashing)处理中,使灰化速度的均匀性更进一步提高。

Claims (11)

1.一种表面处理装置,在具有排气机构和气体导入机构的处理室的内部,具备放置基板的基板放置台和与该基板放置台对向设置且与所述气体导入机构连接的气体排放机构,该表面处理装置通过所述气体排放机构,朝向所述基板排放的气体或其反应生成物,对基板进行处理,其特征在于:
所述基板放置台、所述气体排放机构或所述处理室具备热交换器,
该热交换器是,在两个板状体之间设置有分隔壁,形成流路,使流体流过该流路,将所述板状体或与所述板状体接触的部件冷却或加热至规定的温度,即,分别在所述流路的内部的两个板状体上,与流路平行或具有规定的角度地设置翼片,该翼片以相互间隔开,且所述翼片的高度以前端部相互重合但又不完全切断流体的结构方式进行设定。
2.根据权利要求1所述的表面处理装置,其特征在于:预先在所述两个板状体中的至少一个上形成有构成所述流路的壁的凸部,将该两个板状体叠合,形成所述流路。
3.根据权利要求1所述的表面处理装置,其特征在于:从流体的流动方向的垂直方向来看,分别设置于所述两个板状体上的翼片以相互重合的方式配置。
4.根据权利要求2所述的表面处理装置,其特征在于:从流体的流动方向的垂直方向来看,分别设置于所述两个板状体上的翼片以相互重合的方式配置。
5.根据权利要求1~4中任一项所述的表面处理装置,其特征在于:在所述板状体的面内,所述流路的截面积相同,并且在该面内均匀地配置所述流路。
6.根据权利要求1~4中任一项所述的表面处理装置,其特征在于:与流体接触的流路的截面的周长跟没有翼片的情况相比为3倍以上,其中,所述截面为与所述流路的流体流动方向相垂直。
7.根据权利要求5所述的表面处理装置,其特征在于:与流体接触的流路的截面的周长跟没有翼片的情况相比为3倍以上,其中,所述截面为与所述流路的流体流动方向相垂直。
8.根据权利要求1~4中任一项所述的表面处理装置,其特征在于:在所述流路内设置有连接所述两个板状体的连接翼片,使该连接翼片比所述翼片的宽度宽。
9.根据权利要求5所述的表面处理装置,其特征在于:在所述流路内设置有连接所述两个板状体的连接翼片,使该连接翼片比所述翼片的宽度宽。
10.根据权利要求6所述的表面处理装置,其特征在于:在所述流路内设置有连接所述两个板状体的连接翼片,使该连接翼片比所述翼片的宽度宽。
11.根据权利要求7所述的表面处理装置,其特征在于:在所述流路内设置有连接所述两个板状体的连接翼片,使该连接翼片比所述翼片的宽度宽。
CNB031052428A 2002-02-28 2003-02-25 表面处理装置 Expired - Fee Related CN1277951C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002054863A JP3921234B2 (ja) 2002-02-28 2002-02-28 表面処理装置及びその製造方法
JP2002054863 2002-02-28

Publications (2)

Publication Number Publication Date
CN1441083A CN1441083A (zh) 2003-09-10
CN1277951C true CN1277951C (zh) 2006-10-04

Family

ID=27750986

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB031052428A Expired - Fee Related CN1277951C (zh) 2002-02-28 2003-02-25 表面处理装置

Country Status (5)

Country Link
US (2) US7513063B2 (zh)
JP (1) JP3921234B2 (zh)
KR (1) KR100892900B1 (zh)
CN (1) CN1277951C (zh)
TW (1) TWI226425B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107533996A (zh) * 2015-04-10 2018-01-02 Ev 集团 E·索尔纳有限责任公司 衬底固持器和用于接合两个衬底的方法

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100425467B1 (ko) * 2001-09-29 2004-03-30 삼성전자주식회사 반도체소자를 위한 건식 식각방법
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
JP4587016B2 (ja) * 2003-05-30 2010-11-24 ソニー株式会社 反応装置とその製造方法、改質装置、電源供給システム
JP4527670B2 (ja) 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
JP5188782B2 (ja) * 2007-11-13 2013-04-24 月島機械株式会社 プラズマcvd装置及びプラスチック表面保護膜の形成方法
JP5003523B2 (ja) * 2008-02-15 2012-08-15 東京エレクトロン株式会社 熱処理装置、熱処理方法、塗布、現像装置及び記憶媒体
US20110068084A1 (en) * 2008-07-10 2011-03-24 Canon Anelva Corporation Substrate holder and substrate temperature control method
JP5594597B2 (ja) * 2008-09-02 2014-09-24 株式会社ラスコ 冷却装置
US8294068B2 (en) * 2008-09-10 2012-10-23 Applied Materials, Inc. Rapid thermal processing lamphead with improved cooling
WO2010050169A1 (ja) * 2008-10-29 2010-05-06 積水化学工業株式会社 プラズマ処理装置
JP5437168B2 (ja) * 2009-08-07 2014-03-12 東京エレクトロン株式会社 基板の液処理装置および液処理方法
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5996381B2 (ja) * 2011-12-28 2016-09-21 東京エレクトロン株式会社 基板処理装置および基板処理方法
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9267739B2 (en) * 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101841980B1 (ko) * 2012-10-18 2018-03-26 가부시키가이샤 알박 성막 장치
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6224366B2 (ja) * 2013-07-12 2017-11-01 東京エレクトロン株式会社 支持部材及び基板処理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9331430B2 (en) 2013-10-18 2016-05-03 JTech Solutions, Inc. Enclosed power outlet
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
WO2016197083A1 (en) * 2015-06-05 2016-12-08 Watlow Electric Manufacturing Company High thermal conductivity wafer support pedestal device
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6745886B2 (ja) 2016-02-16 2020-08-26 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 基板をボンディングするための方法および装置
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6715739B2 (ja) * 2016-10-03 2020-07-01 株式会社アルバック ハースユニット、蒸発源および成膜装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10205283B2 (en) 2017-04-13 2019-02-12 JTech Solutions, Inc. Reduced cross-section enclosed power outlet
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6918642B2 (ja) * 2017-08-25 2021-08-11 東京エレクトロン株式会社 冷媒用の流路を有する部材、冷媒用の流路を有する部材の制御方法及び基板処理装置
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
USD843321S1 (en) 2018-03-26 2019-03-19 JTech Solutions, Inc. Extendable outlet
USD841592S1 (en) 2018-03-26 2019-02-26 JTech Solutions, Inc. Extendable outlet
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019236856A1 (en) * 2018-06-08 2019-12-12 Applied Materials, Inc. Temperature controlled susceptor for flat panel process equipment
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11532461B2 (en) * 2018-10-23 2022-12-20 Tokyo Electron Limited Substrate processing apparatus
JP7278172B2 (ja) * 2018-10-23 2023-05-19 東京エレクトロン株式会社 基板処理装置
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7221737B2 (ja) * 2019-03-04 2023-02-14 日本碍子株式会社 ウエハ載置装置
JP2020149859A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
JP7374016B2 (ja) * 2019-06-18 2023-11-06 東京エレクトロン株式会社 基板処理装置
JP7390922B2 (ja) 2020-02-18 2023-12-04 東京エレクトロン株式会社 カソードユニットおよび成膜装置
USD999742S1 (en) 2021-04-01 2023-09-26 JTech Solutions, Inc. Safety interlock outlet box
JP2023003003A (ja) * 2021-06-23 2023-01-11 東京エレクトロン株式会社 基板支持部及び基板処理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5083373A (en) * 1986-04-25 1992-01-28 Hamburgen William R Method for providing a thermal transfer device for the removal of heat from packaged elements
JP3013446B2 (ja) * 1990-12-28 2000-02-28 ソニー株式会社 ドライエッチング方法
JPH06172988A (ja) 1992-12-01 1994-06-21 Nissin Electric Co Ltd スパッタターゲットのバッキングプレート
US5433835B1 (en) * 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
JP3411678B2 (ja) * 1994-07-08 2003-06-03 東京エレクトロン株式会社 処理装置
JPH08159681A (ja) 1994-12-01 1996-06-21 Osaka Gas Co Ltd 熱交換器
US5640852A (en) * 1995-10-06 1997-06-24 Atlas; Boris Compact thermal electric heat exchanger
JP3616862B2 (ja) 1996-04-24 2005-02-02 昭和電工株式会社 放熱器
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JPH1047879A (ja) 1996-07-26 1998-02-20 Mitsubishi Materials Corp 熱交換器
TW439094B (en) * 1998-02-16 2001-06-07 Komatsu Co Ltd Apparatus for controlling temperature of substrate
US6173508B1 (en) * 1998-06-08 2001-01-16 Charles Strohmeyer, Jr. Sewage organic waste compaction and incineration system integrated optionally with a gas turbine power driver exhaust and/or other separate heat source
JP4444437B2 (ja) * 2000-03-17 2010-03-31 キヤノンアネルバ株式会社 プラズマ処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107533996A (zh) * 2015-04-10 2018-01-02 Ev 集团 E·索尔纳有限责任公司 衬底固持器和用于接合两个衬底的方法
CN107533996B (zh) * 2015-04-10 2021-02-23 Ev 集团 E·索尔纳有限责任公司 衬底固持器和用于接合两个衬底的方法

Also Published As

Publication number Publication date
CN1441083A (zh) 2003-09-10
JP2003257943A (ja) 2003-09-12
US20090197418A1 (en) 2009-08-06
US7513063B2 (en) 2009-04-07
TW200303977A (en) 2003-09-16
JP3921234B2 (ja) 2007-05-30
US7976716B2 (en) 2011-07-12
TWI226425B (en) 2005-01-11
US20030159307A1 (en) 2003-08-28
KR100892900B1 (ko) 2009-04-15
KR20030071498A (ko) 2003-09-03

Similar Documents

Publication Publication Date Title
CN1277951C (zh) 表面处理装置
CN1227390C (zh) 表面处理装置
CN1830072A (zh) 气体处理装置和散热方法
EP2338165B1 (en) Rapid thermal processing lamphead with improved cooling
KR101456894B1 (ko) 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들
US20060137820A1 (en) Plasma processing apparatus
CN1511244A (zh) 热介质循环装置及使用其的热处理装置
CN1885488A (zh) 上部电极、等离子体处理装置和等离子体处理方法
CN1835205A (zh) 基板载放台、基板处理装置以及基板处理方法
CN1875467A (zh) 等离子体处理装置
CN101834120A (zh) 喷淋头和等离子体处理装置
CN101040059A (zh) 用于改善半导体加工均匀性的传热系统
JPH0831755A (ja) 処理装置
CN1784765A (zh) 宽温度范围的卡盘系统
CN103215549A (zh) 用于金属气隙填充的屏蔽设计
CN101448357B (zh) 等离子体处理设备
US10181406B2 (en) Plasma processing apparatus, plasma processing method, and method for manufacturing electronic device
US20170178925A1 (en) Plasma processing method
US11670513B2 (en) Apparatus and systems for substrate processing for lowering contact resistance
US10141162B2 (en) Plasma processing apparatus, plasma processing method, and method for manufacturing electronic device
KR20080061820A (ko) 탭가공된 냉각 통로관을 포함하는 진공 장비
JP2008016788A (ja) 電子デバイス温調装置及びこれを用いた電子デバイス製造装置
US20140166206A1 (en) Non-plasma dry etching apparatus
JP2005083593A (ja) サセプタ冷却システム
JP2011071187A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061004

CF01 Termination of patent right due to non-payment of annual fee