CN1247613A - 对数字运算和逻辑运算进行处理以及在处理机(cpus)、多计算机系统中使用的装置 - Google Patents

对数字运算和逻辑运算进行处理以及在处理机(cpus)、多计算机系统中使用的装置 Download PDF

Info

Publication number
CN1247613A
CN1247613A CN97181623A CN97181623A CN1247613A CN 1247613 A CN1247613 A CN 1247613A CN 97181623 A CN97181623 A CN 97181623A CN 97181623 A CN97181623 A CN 97181623A CN 1247613 A CN1247613 A CN 1247613A
Authority
CN
China
Prior art keywords
data
unit
pae
bus
register
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN97181623A
Other languages
English (en)
Inventor
马丁·福尔巴赫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Pact Informationstechnologie GmbH
Original Assignee
Pact Informationstechnologie GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=7814099&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1247613(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Pact Informationstechnologie GmbH filed Critical Pact Informationstechnologie GmbH
Publication of CN1247613A publication Critical patent/CN1247613A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/12Synchronisation of different clock signals provided by a plurality of clock generators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/10Preparation of ozone
    • C01B13/11Preparation of ozone by electric discharge
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3237Power saving characterised by the action undertaken by disabling clock generation or distribution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30101Special purpose registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30134Register stacks; shift registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • G06F9/3893Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator
    • G06F9/3895Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros
    • G06F9/3897Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros with adaptable data path
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0803Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J2219/0805Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • B01J2219/0845Details relating to the type of discharge
    • B01J2219/0849Corona pulse discharge
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/20Electrodes used for obtaining electrical discharge
    • C01B2201/22Constructional details of the electrodes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/20Electrodes used for obtaining electrical discharge
    • C01B2201/24Composition of the electrodes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/30Dielectrics used in the electrical dischargers
    • C01B2201/32Constructional details of the dielectrics
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/57Arithmetic logic units [ALU], i.e. arrangements or devices for performing two or more of the operations covered by groups G06F7/483 – G06F7/556 or for performing logical operations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S422/00Chemical apparatus and process disinfecting, deodorizing, preserving, or sterilizing
    • Y10S422/907Corona or glow discharge means

Abstract

本发明涉及一种计算装置,它根据下述配置由一逻辑装入部件(PLU)控制,并可在运行时间过程中进行配置和重新配置:本发明提供一算术逻辑部件(EALU),它的功能和联网在寄存器中编程。寄存器内可在处理大量数据而不必对处理机(PAE)重新编程。为了控制算术逻辑部件(EALU),本发明提供一状态机(SM-UNIT);另外还分别为每一种运算数和结果提供寄存器(分别是O-REG和R-REQ),这些寄存器是按照部分充当移位寄存器设计的。结果寄存器数据经过一多路转换器(R10-MUX)反馈到EALU的一个输入端。一总线多路转换部件(BM-UNIT)有可能自总线系统读取数据和将结果输送到总线系统,同时。在有多个接收机的情况下,总线部件可以自动将数据传送给多个接收机,寄存器防止EALU中的数据处理系统访问总线,因此,每一个PAE可视为一个独立的装置,其中,PAE的配置和重新配置不影响数据发动机和接收机或各个独立的PAE。

Description

对数字运算和逻辑运算进行处理以及在处理机(CPUS)、 多计算机系统中使用的装置
1.本发明的背景
1.1技术现状
在公开说明书DE 16 881 A1中描述了一种进行数据处理的技术。其中,在其功能和联网中广泛地运用了可自由配置的、均匀排列的元件。
与上述公开说明书无关,为了设计由许多单一的逻辑元件构成的运算器和数据处理装置,EPGA部件(可自由编程逻辑部件)得到了越来越多地应用。
另外一个已知的技术是设计由在很大程度上固定联网的固定程控运算器,即所谓的脉冲处理机构成的数据处理装置。
1.2问题
1.2.1根据DE44 16 881 A1的部件
根据DE 44 16 881 A1所述技术的部件(以下简称为VPU)是基于大量的小型逻辑元件以很高的代价配置起来的。为了控制一个逻辑元件,要在一个静态存储器(SRAM)内提供许多控制位。每一个逻辑元件具备一个SRAM地址。需要配置的SRAM部件数量很大,这必然导致很大的空间需求量和花费很多时间,才能配置和重新配置一个这样的部件。高空间需求特别不能接受,因为一VPU的处理能力是随着元件数量的增加而提高。但是,一个部件的可利用面积受到芯片制造技术的限制。芯片的价格与芯片的面积成平方关系。基于多重图象显示的下一个邻点联网结构,广播通信,也就是把数据同时发送给多个接收机,是不可能的。如果VPU在运行中进行重新配置,则一行需要达到短暂的重新配置时间。然而,与此相反,为了重新配置芯片,需要拥有大量的配置数据。不存在将元件与电源分离或缓慢地提供时钟脉冲的可能性,以便把损耗功率减少到最低限度。
1.2.2 FPGA部件
对于上述应用领域至关重要的FPGA部件,多半是由多路或查表结构(LUT)组成的。执行采用了SRAM部件。由于拥有很多小SRAM部件,所以其配置费用很高。需要有大量的数据,要求花费相当多的时间进行配置和重新配置。SRAM部件需要占用很多空间。但是一个部件的可用面积受芯片制造技术的限制。价格的上升与芯片面积大致成平方关系。SRAM所依赖的技术由于在SRAM上的存取时间而比直接集成逻辑要慢。虽然很多FPGA部件建立在总线结构上,但根本没有广播通信能力,迅速而有效地同时向多个接收机发送数据。如果要FPGA部件在运行中进行重新配置,则迫切需要达到很短的配置时间。但这样就需要有大量的配置数据。FPGA部件对运行中有意义的重新配置完全不提供支持。编程人员必须顾及过程能正常进行,对数据和相关的逻辑不存在有干扰作用的影响。把损耗功率减少到最低限度的智能逻辑是不存在的。没有专门的功能部件允许把运行状态的信息反馈给控制FPGA的逻辑电路。
1.2.3脉动处理机
脉动处理机上完全取消了重新配置,不过这种处理机是没有灵活性的,因为它有刚性的内部结构。指令在每一循环中都被重新解码。正如在上面两节中已经说明的,缺少执行广播通信和把损耗功率减少到最低限度的功能。
1.3本发明的改进,任务
本发明包括一个级联的运算器,该运算器在其功能上和联网方面具有灵活性,是可配置的。
在执行算法的过程中不需要指令解码。它在运行中是可重新配置的,对周围的运算器、处理模块和数据流没有影响。配置数据的量很小,这对空间需求量和配置速度的影响都是有利的。为了迅速和有效地分配大量数据,经过内部总线系统支持广播通信。运算器配备了省电功能,借以可完全切断功率消耗,同样还有时钟分配器,可使运算器以较小的时钟脉冲工作。为了把内部状态反馈给外部控制电路,有特别的机制可供使用。
2.本发明的说明
2.1本发明概况,简介
本发明说明根据DE 44 16 881 A1一种元件或已知FPGA元件的结构或已知的FPGA部件集成于这种元件中的是一围绕特殊功能扩充了的、进行数据处理的算术逻辑部件(EALU)。这种EALU经过一功能寄存器进行配置,因而可明显地降低配置所需要的数据量。元件可经过一总线系统进行自由级联,让EALU经过输入、输出寄存器与总线系统分离。输出寄存器返回到EALU的一输入上,以便能进行串行操作。接入到总线接受一总线控制部件,该总线控制部件根据总线寄存器相应地与总线耦合。这样就构成了能够将数据分配给多个接收机(广播通信)的器件。一个同步电路经过总线系统控制多个元件之间的数据交换。EALU、同步电路、总线控制部件和寄存器的电路连接方法使得一个元件可以在运行中独立于其它外围元件被重新配置。经过功能寄存器可以配置一个与元件断开的省电模式,同样可以接通减低工作频率的时钟分配器。
2.2本发明的详细说明
本发明说明符合DE 44 16 881 A1主旨的一种元件(PAE=阵列处理机)或已知的FPGA元件的结构,其中,阵列处理机可与一阵列(处理阵列=PA)级联。一个阵列处理机由许多个功能部件构成。
2.3 EALU
运算器由一个固定在逻辑电路中执行的扩充算术逻辑部件(EALU)组成。一个EALU就是符合当前技术水准的普通的算术逻辑部件(ALU),它通过特殊功能,诸如计数器之类,而加以扩充。这种EALU能进行大量的算术和逻辑运算,无须在这里作详细规定,因为可以根据当前技术水准追溯到ALU。EALU直接存取它自己的结果,对此,我们将在后面作为运算数加以追述。这样,计数器或串行操作,诸如串行乘法、除法或级数展开,都是可行的。EALU除了它的结果以外,还提供信号CarryOut-AlessB和AequalB-Odetect。CarryOut-AlessB或者在算术运算时说明进位,或者在利用减去两个值进行比较时,进位也就是CarryOut-AlessB,说明A<B或B<A,取决于求反的运算数。这个信号是通过一个全加器产生的普通进位。AequalB-Odetect说明在结果寄存器R-REGsft中的结果等于0。这个信号经过一“或非”从结果中产生。两个信号被用来对状态进行简单处理,并可以重新提供给PLU(逻辑装入部件)。其它的状态信号根据应用情况是可执行的。
EALU的功能在一功能寄存器(F-PLUREG)中配置。
2.3.1 O-REG
EALU的输入运算数被存储在两个独立的运算数寄存器(O-REG)中。借此,这些运算数可供使用,与提供数据的部件(数据发送器)的状态无关。这是必要的,以便能实现与总线脱开和PA(PA=处理阵列)的自由重新配置。一个或两个O-REG具有移位功能,由每一个相关O-REG的EALU进行控制。移位功能允许在EALU中进行串行操作,诸如乘法或除法等。具有移位功能的O-REG被称为O-REGsft。
2.3.2 R-REGsft
EALU的结果被存储在一个结果寄存器中(R-REGsft)。由此实现了时间上与一个或数个接收结果的部件(数据接收机)的不相关性。R-REGsft具有移位功能,由EALU控制,因而允许进行串行操作。
2.3.3 R2O-MUX
存在于R-REGsff中的结果数据,经过在两个O-REG中的一个与EALU之间的一多路转换器(R2O-MUX)作为运算数并入电路,以保证串行操作、计数器和类似功能结果的反馈。多路转换器通过F-PLUREG调整。
2.2.4时钟脉冲周期
重要的,但不是迫切需要的事,是在一时钟脉冲边沿上控制O-REG(sft)并在其后否定的时钟脉冲边沿上控制O-REGsft。这样,EALU就有一个“半时钟脉冲”可用来执行其功能。第二个“半时钟脉冲”供信号传输时间和多路转换器使用。于是有可能在每一个时钟脉冲中进行一次完整的运算。
2.2.5状态机,SM-Unit
为了在EALU中进行过程控制,设有一个SM-UNIT。它控制O-REG和R-REGst及其移位功能,还控制R2O-MUX。借此,可以达到串行操作、移位和计数功能能简单地由EALU执行的目的。其中的状态机可以根据当前技术水准简单地实现。
2.2.6 Sync-UNIT
为了在诸阵列处理机的一个阵列(PA)中对一阵列处理机进行整步,设有一个同步部件(Sync-UNIT)。这个Sync-UNIT为一系列执行信号交换协议的输入信号求值。rACK(h/l):这个数据接收机认收已收到的数据。其中,rACKh是高结果位(8到
       15位)的认收,rACK1是低结果位(0到7位)的认收。两者进行
       “与”运算(rACKh“与”rACK1),并产生信号rACK。当一个或
       两个数据接收机正在处理其数据的过程中时,rACK是不真实的;
       当两个数据接收机的数据处理已经结束,并已将结果存储在相关的
       数据接收机的R-REGsft中时,rACK是真实的。另外,信号
       rACK(h/l)往往采用经过“与”运算的形式,被视为rACK(=rACKh
       & rACK1)。oRDY(1/2):这个数据发送机信号化其准备状态,发送新数据。当数据发送机正
       在处理其数据的过程中时,oRDY是不真实的;当数据发送机提供
       结果(它是阵列处理机的运算数)供使用时,oRDY是真实的。其
       中,oRDY1是第一个运算数数据发送机的释放信号,oRDY2是第
       二个运算数数据发送机的释放信号。两者进行“与”运算(oRDY1
       “与”oRDY2),并产生信号oRDY。只有当两个数据发动机准备
       好发送数据时,oRDY才是真实的。信号oRDY(1/2)往往采用经过
       “与”运算的形式,被视为oRDY(=oRDY1 & oRDY2)。
由输入信号和Sync-UNIT的状态(它与EALU的过程控制一道代表阵列处理机的总状态)生成输出信号,该输出信号被数据发送机和接收机的Sync-UNIT从它们一面视为输入信号。为了进行EALU的过程控制,需要使用其状态信息和寄存器F-PLUREG。rRDY:表示阵列处理机已经结束了它的数据处理,结果在R-REGsff中提供使
  用。rRDY被作为rRDYh和rRDY1传输给两个数据接收机。但它所涉
  及的是同一个信号!oACK:表示阵列处理机已经处理了它的运算数,新的数据可以录入o-
  REG(sft)。oACK被作为oACK1和oACK2传输给两个数据发送机。但
  它所涉及的是同一个信号!
RDY信号保持其电平,直到通过ACK输入认收为止。当数据接收机在数据准备过程中进行重新配置时,必需如此。如果RDY排队等候,直到通过ACK认收,则数据接收机在重新配置后确认数据准备就绪,并接受数据。
信号经过若干个阵列处理机的逻辑电路表示如下:
数据发送机 阵列处理机 数据接收机
    rRDY   →   oRDY rRDY   →     oRDY
    rACK   ←   oACK rACK   ←     oACK
这意味着,例如数据发送机的输出信号rRDY代表阵列处理机的输入信号oRDY1或oRDY2。阵列处理机的输出信号rRDY代表数据接收机的输入信号oRDY。
Sync-UNIT具有系列过程形式:
    模式     说明     备注
Warten OP(等待运算数) 阵列处理机等待运算数 只有当无多循环操作正在执行时
Zyklus 1(循环1) 一个单循环操作正在执行 运算数被认收
Zyklus n(循环n) 一多循环操作中的一个循环正在执行
Zyklus Z(循环Z) 一多循环操作中的最后一个循环正在执行 运算数被认收
Warten ERG(等待结果) 阵列处理机等待结果的被认收 只有当前面的结果已存在时
Stop(停止) 结束正在运行的循环后停止执行,然后,如果结果已被认收,则认收ReConfig(重新配置)
Sync-UNIT提供一个特别模式供使用,只有当有运算数提供使用时,该模式才释放时钟脉冲信号。只有当数据发送器不在每一个处理机时钟脉冲中提供数据,而是每到第n个时钟脉冲时提供数据,这一模式才特别有意义。其时,时钟脉冲对应标准时钟脉冲的一个周期,并经过rACK或oRDY(1/2)释放。释放被称为为“单冲”(OneShot)。这一模式被称为“单冲模式”。这种情况下,时钟脉冲经过一“与”门用释放信号之一进行“与”运算。模式和信号的选择经过F-PLUREG进行。经过rACK或oRDY(1/2)生成的释放信号可由SM-UNIT(状态机部件)延长。这是必要的,以便于需要一个以上时钟脉冲的运算能在单冲模式下执行。为了能够如此,SM-UNIT的相应的信号线路要用释放信号进行“或”运算处理。
如果寄存器记录STOP放在F-PLUREG中,则Sync-UNIT引导运行的功能结束。尔后,再没有其它的运算数被接受和认收。一旦rACK指示结果已被数据接收机接受,则PLU的重新配置准备状态通过信号ReConfig说明。通过将F-PLUREG的“停止”存入一D触发器(D-Flip-Flop),即生成这一信号。ReConfig可以通过在F-PLUREG上在“停止”的位位置上对PLU的一次读数访问进行查询。
同样,Sync-UNIT可用来生成事故状态或其它状态信号,并进行处理。
2.2.7 BM-UNIT
为了将运算数和结果接到外部总线系统上,设有一总线多路转换部件(BM-UNIT)。这个BM-UNIT由两个多路转换器和2个门组成,其中,两个多路转换器是运算数多路转换器(O-MUX),两个门电路是结果门(R-GATE),同时,高值和低值结果分别各使用一个开关。多路转换器和开关经过多路转换器寄存器({M-PLUREG})进行控制。Sync-UNIT信号经过开关到总线上进行控制。如此,多路转换器/开关和信号的密切关系如下:O-MUX1:    oRDY1,oACKO-MUX2:    oRDY2,oACKRH-GATE:   rRDY,rACKhRL-GATE:   rRDY,rACK1
结果门R-GATE可以经过M-PLUREG进入一种不激励总线系统的状态。
下表给出信号的说明及其有关的接口结构:
    信号     oRDY     OACK     rRDY   rACK  D7..0
  表示的内容   运算数准备就绪 运算数被认收 结果准备就绪 结果被认收 数据
  类型   输入 集电极开路 激励器 输入 双向的
有可能从一个数据发送器出发去询问多个数据接收机(广播通信)。为此,要把多个数据接收机接到同一根总线上。为了保证数据的认收,将认收线路的激励器级oACK设计成集电极开路激励器。这样,总线作为线“与”电路(wired-AND)工作,也就是说,当所有数据接收机都认收时,才出现认收所要求的H电平。要做到这一点,每一个没有认收的数据接收机都要经过一个集电极开路晶体管将总线降低到L电平。认收的数据接收机不控制集电极开路晶体管,因而不给总线加载。当所有的数据接收机认收时,总线便不在被加载,并经过一个上拉电阻接受H电平。
2.2.8 StateBack-UNIT(状态信号返回部件)
阵列处理机能把关于经过其运行状态的回答信号提供给它的逻辑装入部件(以下简称为PLU)(比较DE 44 16 881 A1)。逻辑装入部件配置PA,并需要有关每一个阵列处理机状态的信息,以便能进行合理的重新配置。为此,需要经过StateBack-UNIT(状态信号返回部件)。这一部件或者根据F-PLUREG中的记录传送R-REGsft中结果的低3位(为了把计算值提供给PLU),或者把信号CarryOut-AlessB和AequalB-Odetect传送到一3位状态总线。为了能从多个阵列处理机接通信号,采用了经过集电极开路激励器的简单线“或”电路技术。为了能在接收机认收数据后才开始重新配置阵列处理机,可以在信号与集电极开路激励器之间跨接一个锁存器级,该锁存器级在输入rACK之后才释放信号。状态总线由PLU监视。PLU在它的程序流中和它的重新配置中对由总线提供的状态作出反应。
2.2.9电源装置
阵列处理机具有一种省电模式({休眠方式}),省电模式如同EALU在F-PLUREG中的功能一样进行调整。为此备有一个位,当它被置放时,即接通休眠方式。这样,阵列处理机的时钟脉冲电路或者被置于恒定的逻辑0或1,或者经过一个晶体管切断阵列处理机的电压。F-PLUREG在阵列处理机内始终通电,而且是不能断开的。每次执行功能时没有使用的阵列处理机区段(门电路)通过充分利用F-PLUREG而断开。这种情况经过一个将区段与供电部分分离的晶体管而发生。为了防止不希望发生的干扰影响,区段的输出经过上拉/下拉电阻加以限定。
另外,在由Sync-UNIT控制的“单冲模式”内,可以使用省电方式。这种情况下,除F-PLUREG、M-PLUREG和Sync-UNIT以外,阵列处理机的所有部件都与电源分离。只有当Sync-UNIT确定一个“单冲”时,所有需用的阵列处理机部件才经过电源装置接通。Sync-UNIT延迟时钟脉冲信号一定的时间,直到所有新接通的部件都能工作为止。
2.2.10寄存器
寄存器F-PLUREG和M-PLUREG与PLU总线相连接。由PLU发送的数据包的地址在一比较器中被解码。如果阵列处理机的地址被识别,则数据存入寄存器。PLU总线被设计成如下:AX7..0:X/Y矩阵的X地址AY7..0: X/Y矩阵的Y地址RS:     寄存器的选择,逻辑0选定F-PLUREG,逻辑1选定M-PLUREG。AEN:    地址启动,总线包含一有效地址。只要AEN是逻辑0,地址必须解
     码。AEN在整个总线访问过程中,即在数据传送过程中为逻辑0。D23..00:数据DEN:    允许数据传送,总线含有有效数据。在信号DEN的脉冲前沿上升
     时,数据必须传送到寄存器中。OEN:    允许输出,PLU从诸PLUREG中读取有效数据。
2.2.11 F-PLUREG的结构
1.写入访问时寄存器的结构
  F11   F10..9     F8     F8     F5     F4..0
  Stop(停止)   StateBack(状态信号返回)   Sleep(休眠)   OneShot(单冲) PowerSave(省电) EALU function(EALU功能)
各个位的功能
功能 状态 作用
Stop(停止) 0 正常功能
1 停止运行周期后的功能,没有认收运算数。
StateBack(状态信号返回) 00 没有回答信号,总线开路
01 D2..0在总线上
10 CarryOut-AlessB,AequalB-Odetect在总线上
11 非法
Sleep(休眠) 0 没有功能,无电压
1 正常功能,有电压
OneShot(单冲) 00 正常功能
01 OneShot在oRDY1上
  10   OneShot在oRDY2上
  11   OneShot在(rACKh & rACK1)上
  PowerSave(省电)   0   无省电
  1   与OneShot相连接的省电方式
  EALU function(EALU功能)   00000   没有运算(NOP)
  00001
  ...   与执行EALU适应的功能
  11111
复位状态在各个位都是0。
2.读出访问时寄存器的结构
  F11
  ReConfig(重新配置)
各个位的功能
  功能   状态     作用
ReConfig(重新配置)     0     不能进行重新配置
    1     能进行重新配置
复位状态在各个位都是0。
M-PLUREG的结构
M23..18     M17..12     M11..06     M05..00
    结果高值     结果低值     运算数1     运算数0
值M(n+5)..n=000000,n∈{0,6,12,18}意味着多路转换器/开关是开放的,并且没有母线接点。经过信号ReConfig阻断M-PLUREG可能是有意义的,就是说,一旦ReConfig被激活,阵列处理机就与所有的总线系统断开。
复位状态在各个位都是0。
3.总结
通过把配置数据缩减到F-PLUREG可以比用已知技术,特别是比用FPGA技术更简单和更迅速地配置和重新配置阵列处理机的功能。在M-PLUREG中确定运算器的联网,而在传统的技术中必须占有大量单一的和不相关联的配置位。通过寄存器清晰的结构简化了(重新)配置。
由于直接设计成运算器,阵列处理机的空间需求比用传统技术要小,因为传统技术中运算器是通过大量的逻辑部件实现的。同时,运行时滞也缩短了,可达到的时钟脉冲频率也相应地提高了。
广播通信功能由于BM-UNIT的设置而得到了保证,认收是自动进行的。通过输入和输出端的寄存器(O-REG,R-REG),在很大程度上与时间无关的数据传送得到了保证。
由于经过寄存器O-REG和R-REG将每一个阵列处理机与整个系统脱开,配置和重新配置得到了简化,这是因为每一的单一的阵列处理机在很大程度上是互相独立的。给PLU的回答信号以及STOP(“停止”)和ReConfig(重新配置)联合作用,使得对(重新)配置的有效控制成为可能。
实现了省电功能,省电功能—部分自动地(单冲模式)—导致了功率消耗的减少。
为了提高FPGA在其结构体系上的有效性,可以实施阵列处理机结构。这样可大大提高算术运算的能力。
4.对附图的简要说明图1 将多个阵列处理机排列成一个具有PLU(逻辑装入部件)的PA(处理阵列)
的布置图,没有连接到输入/输出系统或存储器。图2 一阵列处理机的结构图。图3 F-PLUREG和M-PLUREG的结构图。图4 一O-REG的结构图。图5 一具有右移位功能的O-REGsft的结构图。图6 一具有1-2右/左位桶形移位器的O-REGsft的结构图。图7 R2O-MUX以及利用传输门技术执行一MUX的结构图。图8 时钟脉冲同步、延迟和同步信号图。图9 Sync-UNIT的工作原理图。说明。图10电源装置的结构图。图11Sync-UNIT的结构图。图12BM-UNIT的结构图。图13一O-MUX的结构图,限定到4个总线系统。图14一R-GATE的结构图,限定到4个总线系统。图15StateBack-UNIT的结构图。图16单冲模式和单冲/省电模式的功能原理图。图17一阵列处理机的实施例图。图18一阵列处理机的结构图,其中各个单一功能的连接是通过一总线系统实
现的。图19一配置状态机的工作原理图。图20一循环状态机的工作原理图。图21配置寄存器数据的循环处理图。
4.1附图的详细说明
图1表示一经过简化的根据DE 44 16 881 A1的处理机。其中示出了PLU(0101)及其总线系统(0102)。各个阵列处理机(0103)都是作为阵列装入的,芯片上的总线(0104)是按示意图表示的。
图2所示为一阵列处理机的示意结构图。芯片上的总线(0201)被引到BM-UNIT(0202)上,BM-UNIT再将通过M-REG(0203)选定的总线继续接到作为运算数1的O-REGlsft(0204)和作为运算数2的O-REG(0205)。在运算数2的数据通路中有选择地经过R2O-MUX(2026)并入存放在结果寄存器R-REGsft(0207)中的结果。来自O-REGsft(0204)和R2O-MUX(2026)的数据在ELAU(2028)中进行处理。回答信号经过StateBack-UNIT(2029)传给PLU。PLU总线(0210)与寄存器F-PLUREG(0211)和M-PLUREG(0212)以及StateBack-UNIT(0209)连接。经过该总线配置和监视阵列处理机。F-PLUREG包含所有的功能配置数据,M-PLUREG包含阵列处理机的联网信息。Sync-UNIT(0212)控制数据接收机、数据发送机与处理阵列处理机之间数据交换的联合作用。SM-UNIT(0213)控制阵列处理机的整个内部过程。电源装置Power-UNIT(0214)调节供电和管理功率消耗。
图3说明寄存器M-PLUREG和F-PLUREG的工作原理。只要AEN(地址启动)显示有一有效的总线传送,PLU总线(0308)的地址AX和AY节就在一比较器(0301)中与阵列处理机的地址进行比较。其时,每一个阵列处理机占有一个唯一的地址,该地址是由它在一PA内的行和列组合而成的。如果DEN(允许数据传送)显示数据传送,则经过RS(寄存器选择)或者选定M-PLUREG(0302),或者选定F-PLUREG(0303)。当DEN的脉冲前沿上升时,数据被存储到有关的寄存器中。寄存器作为D触发器(D-Flip-Flop)(0304)执行。时序图0305用来说明运行过程。要在F-PLUREG上进行读出访问,只需经过门电路(0306)由Sync-UNIT把信号ReConfig传给PLU总线。通路发生于比较器(0301)“与”信号OEN的结果。
图4a表示O-REG的方块图。在图4b中可以看出源于D触发器(D-Flip-Flop)的O-REG的构造。图4c表示时序图。时钟脉冲由SYNC-SM产生。
图5a表示O-REGsft的方块图。在图5b中可以看出源于D触发器(D-Flip-Flop)的O-REGsff的构造。“与”门电路和“或”门电路经过变换电路(0504)构成一个由模式控制的多路转换器(0506),该多路转换器或者将输入数据接到D触发器(D-Flip-Flop)(0501),或者将D触发器(D-Flip-Flop)的输出引动一位后传导到它的输入上。“与”门电路(0505)是不必要的,因为有一输入永久地处在逻辑0上。它仅用于直观的目的。在图5c中说明时序图于信号模式的关系。时钟脉冲是由SYNC-SM生成的。
图6a表示R-REGsft的方块图。寄存器(0601)之前是一多路转换器(0602),该多路转换器或者将输入数据接到寄存器(0601),或者将寄存器(0601)的输出数据移位后输送到起输入端。由SYNC-SM生成的时钟脉冲移位半个节拍后输送到寄存器。在图6b中示出了门电路层面上的方块图。经过一个解码器(0603),Mode0-2接通由“与”门电路与串接的“或”门电路构成的多路转换器(0606)。其中,用虚线画出的门电路(0605和其它)只是为了说明的目的才画上的。这些门电路没有功能,因为输入始终在L上。多路转换器在Mode0-2=010的状态下将输入信号接到寄存器(06067)。寄存器(0607)的输出值在Mode0-2=000到Mode0-2=001的状态下和在Mode0-2=011到Mode0-2=100的状态下分别向左和向右移动一到两个位后,输送大寄存器的输入端。移位功能与Mode0-2状态的关系在图6c中说明。
图7a表示多路转换器R2O-MUX的结构,该多路转换器根据与模式的相关性将运算数和结果接转到EALU。这种情况下,图7a是作为传动的多路转换器构成的,而图7b所示则是因采用CMOS传输门(0701)而节省空间和功率的变体。本文件中描述的所有多路转换器都可以利用传输门构成。
门电路可以设计得与由传输门构成的多路转换器等效。但数据交换的方向则恰好相反!
图8表示阵列处理机内部的时钟脉冲CLR与正在进行的活动的关系。当脉冲前沿上升(0801)时,运算数储存到O-REG中。在H电平(0802)时,阵列处理机处理数据(ΔPAE=处理相位)。这包括O-REG与R-REG之间的数据交换。当脉冲前沿下降(0803)时,结果存储到R-REG中。L电平(0804)被应用于包括在总线系统中的BM-UNIT(ΔNetwork(网络)=总线相位)。由SYNC-SM生成的信号(oRDY和oACK,tRDY和rACK)随时间的变化记录在时序图中。
Sync-UNIT的流程图示于图9中。状态机识别两种固定状态“数据”(0901)和“结果”(0902)。“数据”被整步到上升的脉冲前沿,“结果”被整步到下降的脉冲前沿。同时,输入参数的状态被分别处理,并根据结果跳跃到支路“是”(0903/0904)或“否”(0905/0906)。如果在“数据”中运算数没有准备就绪,则跳转到“否”。下面的步骤中没有操作被执行,直到机器向“数据”回跳,并重新处理。如果操作数准备就绪(通过oRDY显示),则操作被存储在O-REG(0907)中。操作数被处理(0908),同时被评定(0909),确定在多循环操作(需要多于一个时钟脉冲循环的的串行操作)情况下关键是否在最后一个循环,或者是否是一个单循环操作在运行。在这种情况下运算数通过oACK认收(0910)。脉冲前沿下降时,“结果”被整步。这时是检查是否安放了“结果存在”标志(0911)。当完成的结果通过rRDY发送信号时(0912),总是安放这一标志。在两种情况下跳跃到“是”支路(0904):
1.没有先前的结果存在(标记“结果存在”不真实)。
2.有先前的结果存在(标记“结果存在”真实),并且这一结果用rACK认收。在这种情况下(并且只有在这种情况下!)0902将结果复位(0913)。
否则跳跃到“否”支路(0906),并且没有操作被执行,直到状态机向“结果”(0902)返回。在“是”支路(0904),结果存储到输出寄存器R-REGsft((0914)。然后判断关键是否在一个多循环操作的最后一个循环(0915)(比较0909),或者是否是一个单循环操作在运行。如果是。通过rRDY发信号表示结果的存在(0916)。状态机向“数据”(0901)回跳。识别关键是否在最后一个循环(或者是否是一个单循环操作在运行),可以由SM-UNIT经过信号FINISH(“完成”)(0616)询问。当最后一个(或唯一的一个)循环发生时,该信号有效。SYNC-UNIT的状态经过RUN(“运行”)停止SM-UNIT。在有一操作发生的情况下,“运行”有效,否则无效。F-PLUREG中STOP(“停止”)项的机理以及由此生成的ReConfig(“重新配置”)的机理在图9中没有表示出来,因为运行过程出现,而且可从对SYNC-UNIT的说明中推知。
图10表示电源装置的基本结构。信号“休眠”由F-PLUREG传导到一个晶体管或一个晶体管级(1001)。该晶体管级控制所有可断开的元件功能的供电。Sync-UNIT(同步部件)提供单冲省电信号(比较图16),经过该信号,通过一个晶体管或一个晶体管级(1002)释放剩余元件功能的供电。根据元件中实际应用的功能,晶体管或晶体管级(1003)断开不需要的功能(掉电)。显然,对于正规的供电和电磁容量特性,必须采取其它相应的预防措施,例如电容器等。
图11所示是机器从图9到设计的实现。经过BM-UNIT(1101),信号oRDY(1/2)和rACK(简化表示,实际存在的是rACKh和rACK1,rACK=rACK1 & rACKh)被接到中央时钟(CCLK)控制的锁存器(1102)。其中,锁存器的电路这样的,即锁存器在中央时钟的低相位(总线相位)下是透明的,而在高相位下保持状态。锁存器的输出提供信号供同步状态机(1103)使用。1103的rRDY(简化表示:实际存在的是rRDYh和rRDY1,两者完全相同,但被传导到不同的接收机)经过门电路接到总线。1103的信号oACK(1/2)在BM-UNIT(1101)中被否定,并提供给重新反相的集电极开路总线激励器(1104)。总线经过电阻(1105)被拉到H。此时BM-UMIT被接通,发生下面的情况:
1.如果相应的总线不受BM-UNIT控制,则L是在晶体管(1104)的基极上。因此,晶体管不给总线加载。
2.如果相应的总线受BM-UNIT控制,而且信号不被认收,则H是在晶体管(1104)的基极上。这意味着总线被拉到L。如果每次广播通信的结果被分配给多个接收机,则尚未认收结果数据和需要等待循环的所有阵列处理机将总线拉倒L。
3.如果相应的总线受BM-UNIT控制,而且信号被认收,则L是在晶体管(1104)的基极上。这意味着总线不被加载。如果每次广播通信的结果被分配给多个接收机,则已认收结果数据和不需要等待循环的所有阵列处理机不给总线加载。
由于总线在其基本状态接受H电平,也就是接受认收,则根据总线被拉到L的情况2,不认收的负载超过认收。这种情况下,只有当所有阵列处理机认收时,总线才走入H电平,即走入认收状态。这样便实现了一个线“与”电路(Wired-AND-Schaltung)。同步状态机将信号RUN(1107)提供给SM-UNIT(1106)使用。后者根据RUN启动。如果SM-UNIT处在一处理过程的最后一个(或唯一的一个)循环中,则它就将该信号经过FINISH(1108)发给同步状态机。FINISH在估算部件中进行计算,以识别最后一个循环(0907,0915)。SM-UNIT与阵列处理机内部时钟脉冲CLK同步运行。
图12表明BM-UNIT的结构。根据输入到M-PLUREG中的项,多路转换器(1201,1202)把运算数从内部总线(1203)接到O-REG。同样,门电路(1204,1205)把结果的下半部分和上半部分接到总线。多路转换器1026分别根据1201和1202的位置把oRDY(1/2)和根据1204和1205的位置把rACK从总线接入阵列处理机。此时,两个数据接收机的rACK互相进行“与”运算。如果只存在一个数据接收机,则多路转换器这样进行连接,即它送回一个逻辑1取代缺少的rACK。1207包括一个把信号oACK(1/2)和rRDY接到总线上的门电路。其中信号oACK(1/2)首先进行反相,然后经过集电极开路激励器(1104)接到总线上。
图13说明一O-MUX的结构。其中有一个3∶5解码器(1301)处理来自M-PLUREG的Mode2..0信号。多路转换器经过“与”门电路(1302)采用串接的“或”门电路(1303)构成。解码器(1301)的Mode2..0=000的求值信号被直接接到“或”门电路(1304)。这样造成的结果是,在开路状态,即没有连接到总线时,始终是逻辑1被反馈。(比较图12的rACK)。为了简化起见,只示出了缩减的总线尺寸。
图14说明一R-GATE的结构。其中有一个3∶4解码器(1401)处理来自M-PLUREG的Mode2..0信号。解码器的Mode2..0=000的求值信号没有被使用。因此,在这一位组合中没有建立总线连接。门电路(1402)或者由有“与”门电路构成,或者由传输门电路(比较0701)构成。这种情况下,在前或在后串接一放大级以驱动总线负载。为了简化起见,只示出了缩减的总线尺寸。
StateBack-UNIT(状态信号返回部件)示于图15。根据在N-PLUREG中的设定,一多路转换器(1501)或者连接EALU的信号CarryOut-AlessB,AequalB-Odetect,或者连接R-REG R-REGD2..0的输出。信号到达一集电极开路晶体管级(1502),并被接到PLU总线上。这里,PLU总需要若干外部的、位置靠近PLU的上拉电阻(1503)。锁存器1504是可选件。如果把它闭合到1501的输出信号中,则在数据接收机经过rACK认收数据后,把该输出信号接到总线(1503)上;这样造成的结果是,只有当数据被接受后,进行重新配置准备就绪的状态才经过状态信号显示出来。通常,这需要通过STOP(“停止”)和ReConfig(重新配置)联合作用在Sync-UNIT中进行调节;因此,锁存器是可选件。这种情况下,rACK被当作锁存器时钟脉冲使用。这时锁存器在rACK=1时是透明的,在rACK=0被存储。
图16表明OneShot-MODE(单冲模式)的工作方式。经过一个多路转换器(1601),根据F-PLUREG中的设定,信号
1.Vcc
2.oRDY1
3.oRDY2
4.(oRDY1 & rACK)
脱开部件时钟脉冲。经过Vcc脱开造成的结果是时钟脉冲始终在运行(见时序图“正常运行”)。
在其余3个模式下,只有当信号或信号组合释放时钟脉冲时,时钟脉冲才开始运行。释放由时钟脉冲CCLK上的一个锁存器(1602)进行整步,以便当释放信号短促时,相位不提前中断。这种情况下,锁存器在CCLK的低相位下是透明的,在高相位下保持其值。释放信号到达“与”门对(1603和1604),“与”门对释放时钟脉冲。经过一个反相器(1605)产生反相的时钟脉冲信号为了保证!CLK同相,CLK通过一个延迟元件(1606)运行(见时序图“单冲运行”)。这时,CCLK在通往1604的引线中经过两个延时时限(1610)被延迟,以保证与邻近1603的、被多路转换器(1608)延迟了的CCLK同相。如果在省电模式中插进了一个阵列处理机,则元件的供电电源在很大程度上被断开。这种情况是经过“或”门电路1161而发生的。如果接通省电模式,即PowerSave=1,则产生反向信号L。如果这时接通单冲模式,并让寄存器1602在L上,则经过一个单冲省电信号断开电源装置上的供电晶体管(比较图17)但是,如果寄存器1602在逻辑1上(或PowerSave=0),则供电晶体管经过1611接通。下表对功能加以概述:
  省电   锁存器(1602)   电压     备注
  L     X   通
  H     L   断     只有当使用单冲模式时
    H     H   断
在接通电源时出现一规定时间的苏醒时间,直到元件能工作为止。但为了正常地发挥功能,信号必须相应地延迟。为此,经过延迟线路(1607)引导CCLK。一多路转换器(1608)根据信号PowerSave相应地选择是向元件传送标准时钟脉冲还是延迟时钟脉冲传。如果要延迟时间间隔S\DeltaSPowerOn,只能选择非反向时钟脉冲,反向时钟脉冲不延迟。这样,可提供使用与其余部件功能的同步的结果。借此,可利用的处理时间减小到Δprocess。部件的最大时钟脉冲频率取决于ΔPowerOn+Δprocess。(见时序图“OneShot Betrieb mit PowerSave”“单冲运行带省电”)。
图17表示阵列处理机的一实施例。图中,BM-Unit、电源装置、StateBack-Unit、Plu总线和M-PLUREF没有表示出来。
阵列处理机拥有三个供有待处理数据使用的输入寄存器oREG1(1701)、oREG2(1702)和oREG3(1703)。输入寄存器经过前导诸阵列处理机的BM-Unit得到其数据。所有输入寄存器都是没有移位功能的寄存器。
P-PLUREG(1704,1705,1706)决定一批阵列处理机的配置。它们由PLU经过PLU总线装入。它们分别存入一种经过多路转换器(1723)选定的配置。多路转换器(1723)受寄存器(1724)控制。寄存器(1724)从一前导阵列处理机通过BM-Unit得到数据或触发器。该阵列处理机与提供输入寄存器的诸阵列处理机不是等同的。当然,数量较大或较小的F-PLUREG也是可以想象的。
第三个输入寄存器oREG 3(1703)提供乘法和加法功能的运算数。其中,oREG1(1701)的内容与oREG2(1702)的内容在一乘法器(1709)中相乘,紧接着,在加法器/比较器(1718)中加上oREG3(1703)的内容。其中,加法器/比较器是按照执行一次相加进行配置的。如果只需执行一次相乘,则oREG3(1703)装入“零”值。如果只需执行一次相加,则P-PLUREG转换位乘法器(1711)。这样,oREG1(1701)的值直接达到加法器/比较器(1718)。加法器/比较器(1718)的第二功能接受oREG1(1701)的值和oREG3(1703)的值,并比较该两个值。输出信号CarryOut-AlessB和AequalB-Odetect(1719)显示两个值是否相等,或oREG3(1703)的值大于或小于oREG1(1701)的值。
在阵列处理机中实现的其它功能有:一个移位寄存器(1712),一个除法器(1713),诸如“与”、“或”、“非”等逻辑功能(1714)以及一个计数器(1715)。一旦计数器(1715)从一个前导值数到零,它就产生一个激发器信号(1720)。计数器(1715)直接装入oREG3(1703)得到的值。也可以想象插入其它的计数器,如从零数到被装入值的,然后产生一个激发器信号的上行计数器。
功能部件的结果通过提高多路转换器(1716)继续传送到两个输出寄存器rREG1(1710)和rREG2(1717),这两个输出寄存器与BM-Unit连接,数据继续走向后续的各个阵列处理机。运行过程由一个与触发器逻辑电路(1707)连接的Sync-Unit(1708)控制。此外,它还与配置状态机交换控制信号,这些控制信号在通过多路转换器(1723)改变配置时保证正确运行。触发器逻辑电路(1707)与F-PLUREG连接,并根据存储在F-PLUREG中的配置处理进入的信号(1722)。进入的信号包括ReConfig(重新配置),普通的触发器信号,以及同步交换信号oRDY和rACK。根据配置情况,触发器逻辑电路(1707)继续把同步交换信号传送给Sync-Unit(1708),后者又产生输入和输出寄存器以及计数器的启动信号。此外,Sync-Unit(1708)产生进入的同步交换信号oACK和rRDY,它又把这些信号在传送给触发器逻辑电路(1707)。根据配置情况,信号(1719)或计数器(1720)的触发器可以作为普通的触发器信号使用,并传送给触发器逻辑电路(1707)。从给触发器逻辑电路(1707)输出的是信号(1721),ReConfig(重新配置),同步交换oRDY和rACK,以及普通的触发器信号,这些信号重又输送给BM-Unit。
图18所示为与图17中所述阵列处理机具有同样功能范围的一个阵列处理机。这里同样没有表示出BM-Unit、电源装置、StateBack-Unit和M-PLUREF。它由三个输入寄存器oREG1(1801)、oREG2(1802)和oREG3(1803),两个输出寄存器rREG1(1804)、oREG2(1818),三个F-PLUREG(1813,1814,1815),一个Sync-Unit(1805)的多路转换器(1818)和一个触发器逻辑电路(1806)组成。功能部件有一个除法器(1808),一个乘法器(1817),一个加法器/比较器(1809),逻辑功能(1810),一个移位寄存器(1811)和一个计数器(1812)。各个部件的功能与图17所述相对应。也可以设想在阵列处理机中集成其它一些功能,诸如三角函数,方根和指数函数。这当然也适用于图17所述的阵列处理机。每一个功能都是可作为整数和浮点部件实现的。与图17中的阵列处理机不同,每个功能部件都经过总线系统(1816)互连,因而各个功能都可以按任意的顺序互相连接。接线是由在F-PLUREG中所作的配置决定的。总线系统(1816)可以用不同的方式构成。可以是分成一个或多个分段的总线,它的各个分段各自连接两个相互接线的功能;或者是若干贯穿的总线,分别使两个功能部件互连。另外还有这样的可能,即每一功能部件和寄存器各分出一个目标地址,借助这些目标地址建立联系。
图19所示为一管理配置寄存器的配置状态机。开始时,配置状态机处于IDLE(“空闲”)状态(1901)。当出现一个由配置寄存器选定的配置阵列处理机的rRDY信号后,才放弃这种状态。尔后,配置状态机过渡到停止状态(1902),并发送一个停止信号给阵列处理机的Sync-Unit(同步部件)。阵列处理机到下一个时间点结束其操作,并发送一个停止认收信号给配置状态机,过渡到Reload状态(1903),并发送一个启动信号给配置阵列机的寄存器。配置状态机过渡到再启动状态(1904),并发送一个启动信号给Sync-Unit,Sync-Unit再接受其处理。同时,它发出一个rACK信号给配置阵列处理机。最后,配置状态机再跳跃到“空闲”状态(1901)。
图20所示是自动运行阵列处理机不同配置的一状态机,下面简称为环路状态机。由于存在着多个F-PLUREG,因此,依次执行多个操作,接着再把数据以及触发器信号和同步交换信号送到后面的阵列处理机,也许是很有意义的。
每次操作的结果有阵列处理机的rREG经过已作过说明的反馈返回输入寄存器。这一过程可以经过一个部件由一外部停止环路信号或一内部寄存器进行控制。
开始时,环路状态机处在“空闲”状态(2001)。在“空闲”状态(2001),环路状态机发一个复位信号给一计数器。该计数器的作用是选择F-PLUREG。根据前导阵列处理机的输出信号rRDY,环路状态机过渡到配置状态(2202)。这时,它产生同步信息交换信号给阵列处理机和控制信号给配置状态机。此外还为计数器产生启动信号,其值提高1。如果环路状态机没有得到停止环路信号,或者阵列处理机的内部计数器没有达到其最终值,则环路状态机仍停留在配置状态(2002),并重复前面描述的过程。当出现一停止环路信号或当阵列处理机的计数器达到了其最终值时,环路状态机返回带“空闲”状态(2001),rRDY信号传导给后面的阵列处理机。
图21表明顺序执行存储在F-PLUREG中配置所需要的阵列处理机部件。F-PLUREG(2104)从PLU(2107)它的数据,并且与前面所述的结构形式相比,作了某些改进。每一个F-PLUREG包括一个附加位,即所谓的环路位(2106)。该环路位通过连接线路(2112)返回到图20中所描述的环路状态机(2101)中。它在此处充当停止环路信号,就是说,当入环路位(2106)时,环路过程停止,否则它继续被引导,直到环路位被放入,或者图20中所描述的环路状态机(2101)的内部计数器达到了它的最终值。环路状态机(2101)控制计数器(2102),它的值通过多路转换器(2105)控制F-PLUREG(2104)的选择。配置状态机的控制信号和阵列处理机的同步交换信号通过连接线路(2113)进行传输。F-PLUREG的配置数据经过连接线路(2108)继续发送到阵列处理机的功能部件。
计数器(2102)获得启动信号(2110),因而计数器(2102)的值提高1。此外,一旦环路过程结束,环路状态机(2101)就发一个复位信号(2111)给计数器。经过多路转换器(2103),可在阵列处理机中的环路模式与正常运行之间进行挑选。在正常运行时,多路转换器(2103)发送一挑选F-PLUREG(2104)的日期(2109)给多路转换器(2105)。
5定义AequalB-    由EALU产生的信号,在算术运算时,该信号显示结果为零。在比Odetect     较时显示运算数A等于运算数B。ALU         算术逻辑部件。数据处理基本部件。这个部件可进行算术运算,如
        加、减,有时也有乘、除、级数展开等。这个部件也可作为整数
        (integer)部件或作为浮点(floaring-point)部件接入。这个部件同样可进
        行逻辑运算,如“与”、“或”以及比较。BM-UNIT     把数据接通到阵列处理机外总线系统上的部件。接通是经过数据输
        出端的多路转换器或数据输入端的门电路实现的。oACK传导被作
        为集电极开路激励器执行。BM-UNIT通过M-PLUREG进行控制。广播通信    发送阵列处理机的数据给多个数据接收机。CarryOut-   由EALU产生的信号,在算术运算时,该信号显示一次进位。在比AlessB      较时显示运算数A小于运算数B。数据接收机  阵列处理机的结果继续被处理/继续工作的部件。数据发送机  提供数据供阵列处理机使用的部件。D触发器     存储元件,它储存时钟脉冲前沿上升的信号。EALU        扩充算术逻辑部件。被扩充特殊功能的算术逻辑部件ALU,被扩充
        的特殊功能是根据DE 441 16 881 A1运行数据处理装置所必需的或
        有意义的。这属于特殊计数器。FPGA        可编程逻辑部件。当前技术水平。F-PLUREG    放入阵列处理机功能的寄存器。同样还放入单冲和休眠模式。寄存
        器由PLU描述。门电路      执行逻辑基本功能的晶体管组。基本功能包括例如“与非”,“或
        非”,传输门。H电平       逻辑1电平,取决于所采用的技术。同步交换    信号协议,其中信号A显示一种状态,另一信号B证实它接受信号
        A,并作出反应。配置        规定一逻辑部件、一(FPGA)元件或一阵列处理机的功能和联网(比较
        “重新配置”)。锁存器      存储元件,一般它在H电平时透明传导信号,在L电平时存储信
        号。在阵列处理机中部分地使用锁存器,在这种锁存器中电平的功
        能恰好相反。所以在一普通锁存器的时钟脉冲前插入一反相器。L电平       逻辑0电平,取决于所采用的技术。M-PLUREG    放入阵列处理机功能的寄存器。寄存器由PLU描述。下一个邻点联总线系统与在边缘邻接的邻点的联网。网O-MUX       在BM-UNIT内选择运算数总线系统的多路转换器。单冲        阵列处理机以一个比处理机时钟脉冲小的时钟脉冲工作的模式。时
        钟脉冲与处理机时钟脉冲同步,并对应一个周期。不存在相位移。
        时钟脉冲经过信号Ordy(1/2)或rRDY中的一个信号释放。当数据发
        送或接收机发送或接收数据比处理机时钟脉冲慢时,这种模式用于
        省电。集电极开路  电路技术,采用这种电路时,一晶体管的集电极上是一个经过上拉
        上升到H电平的总线信号。晶体管的发射极接地。如果晶体管被接
        通,则总线信号被拉到L电平。这种方法的优点在于,多数这样的
        晶体管能够控制总线而不发生电碰撞。其中信号经过“或”操作,
        出现所谓的线“或”电路。O-REG       运算数寄存器,用来存储EALU的运算数。允许阵列处理机在时间
        和能上独立于数据发送机。这样,数据的传输得到简化,因为可实
        现同步或包定向。同时还提供了独立于阵列处理机重新配置数据发
        送机和独立于数据发送机重新配置阵列处理机的可能性。O-REGsft    带有受SM-UNIT控制的移位寄存器的O-REG。PA          处理阵列:阵列处理机中的阵列。PAE         阵列处理机:配有O-REG、R-REG、R2O-MUX、F-PLUREG、
        M-PLUREG、BM-UNIT、SM-UNIT、Sync-UNIT、StateBack和
        电源装置的EALU。PLU         阵列处理机的配置和重新配置部件。由特别适合其任务的微控制器
        构成。省电模式    单冲模式内的省电模式。在不执行操作时,除F-PLUREG、M-
        PLUREG和Sync-UNIT外,不向所有其它部件供电。电源装置    调整省电功能的部件。下拉电阻    把一总线拉到L电平的电阻。上拉电阻    把一总线拉到H电平的电阻。R-GATE      BM-UNIT内它把结果接通到相应的总线系统上的开关。运行时有几
        个信号经过例如集电极开路激励器接通。R-GATE作为总线激励器
        工作,可进入一总线中性模式。R2O-MUX     将R-REGsft中的结构接入O-REG于EALU之间的数据通路的多路
        转换器。R-REGsft    结果寄存器,用于存储EALU的结果。允许阵列处理机在时间和能
        上独立于数据接收机。这样,数据的传输得到简化,因为可实现同
        步或包定向。同时还提供了独立于阵列处理机重新配置数据接收机
        和独立于数据发送机重新配置阵列处理机的可能性。寄存器设有移
        位功能,移位功能由SM-UNIT控制。串行操作    通过串行处理数据字或算法执行的操作。串行乘法,串行除法,级
        数展开。休眠模式    除F-PLUREG外阵列处理机不供电的省电模式。SM-UNIT     状态机部件。控制EALU的状态机。StateBack-  控制状态信号返回到PLU的部件。由一个多路转换器和一个集电极UNIT        开路总线激励级构成。Sync-UNIT   使阵列处理机的整步与数据发送和接收机同步,并监视阵列处理机
        的重新配置的部件。同时接受单冲功能。门电路      继续传导或阻止信号的开关。简单比较:继电器。重新配置    当任意数量的阵列处理机继续其持有的功能时,对其余任意数量的
        阵列处理机进行新的配置(比较“配置”)。状态机      可以接受各种不同状态的逻辑电路。状态之间的过渡取决于不同的
        输入参数。这种状态机用于控制复杂的功能和适应技术现状。
6约定
6.1名称约定
部件                     -UNIT
工作方式(模式)           -MODE
多路转换器               -MUX
反信号(否信号)           not-
可视PLU寄存器            -PLUREG
内部寄存器               -REG
移位寄存器               -sft
6.2功能约定移位寄存器    sft“与”功能    &
A  B  Q
 0  0  0
 0  1  0
 1  0  0
 1  1  1
“或”功能  #
A  B  Q
 0  0  0
 0  1  1
 1  0  1
 1  1  1
“非”功能  G
  A  Q
    0     1
    1     0
“门”功能  G
EN D Q
 0  0  -
 0  1  -
 1  0  0
 1  1  1

Claims (3)

1.在处理机(CPU)、多计算机系统、数据流处理机(DFP)、数字信号处理机(DSP)、脉动处理机和可编程逻辑部件(FPGA)中使用,由逻辑装入部件控制,对数字和逻辑运算进行处理,可配置并在运行时间可重新配置的阵列处理机(PAE),其特征在于,
a)设有一个可编程计算装置(EALU扩充算术逻辑部件),执行数学和逻辑基本功能,
b)计算装置的功能和联网在寄存器中编程,并能在无须对PAE重新编程的情况下处理大量数据,
c)为了控制计算部件装置(EALU),有一状态机(SM-UNIT)存在,
d)分别为每一运算数和结果设有寄存器(分别是O-REG和R-REG),这些寄存器可部分地充当移位寄存器使用,
e)结果寄存器的数据经过一多路转换器(R2O-MUX)反馈到一EALU的输入端,
f)一总线部件(BM-UNIT)允许总线系统的数据拾取,或结果向总线系统的馈送,同时,总线部件可将数据发送给多个接收机,并且,多个接收机自动实现同步,
g)总线访问经过寄存器与EALU中的数据处理脱开,每个PAE可视为独立的部件,特别是,一PAE的配置和重新配置对数据发送和接收机以及独立的PAE没有干扰性的影响,
h)数据传输过程经过一状态机(SYNC-UNIT)自动控制,为此有同步交换传输线路oRDY,oACK,rRDY和rACK提供使用,
i)信号返回到PLU,以识别PAE的处理和重新配置状态(StateBack-UNIT),
2.根据权利要求1的装置,其特征在于,一PAE的数据处理可被停止(装入STOP于F-PLUREG内),PAE在完成直接运行的数据处理后紧接着显示它准备进行重新配置的准备状态(ReConfig)。
3.根据权利要求1的装置,其特征在于有一省电模式存在,
a)在省电模式下,始终是只有当数据发送机的运算数已达到输入端,并且结果已被接收机接受时,PAE才工作;否则,PAE在没有时钟脉冲的情况下保持静态,
b)在PAE的某些与执行瞬时数据处理无关的子区间,与电源实行分离,
c)在PAE的某些子区间,PAE与电源实行分离。
总结
联系到在处理机(CPU)、多计算机系统、数据流处理机(DFP)、数字信号处理机(DSP)、脉动处理机和可编程逻辑部件(FPGA)中使用,由逻辑装入部件控制,对数字和逻辑运算进行处理,可配置并在运行时间可重新配置的阵列处理机(PAE),提出以下配置建议:
提供一可编程的计算部件(EALU)执行数学和逻辑基本功能,其功能和联网在寄存器内编程,在寄存器内可处理大量数据,无须对PAE重新编程。
为了控制计算部件(EALU),提供一状态机(SM-UNIT);此外,分别为每一运算数和结果提供寄存器(分别为O-REG和R-REG),这些寄存器可部分地充当移位寄存器使用。结果寄存器的数据经过一多路转换器(R2O-MUX)反馈到EALU的输入端。一总线部件(BM-UNIT)允许总线系统的数据拾取,或结果向总线系统的馈送,同时,总线部件可以向多个接收机发送数据,而多个接收机自动进行同步。
总线访问经过寄存器与EALU中的数据处理脱开,这样,每一个PAE可视为独立的部件,因此,一个PAE的配置和重新配置对数据发送和接收机以及独立的PAE不发生干扰性的影响。
CN97181623A 1996-12-09 1997-12-09 对数字运算和逻辑运算进行处理以及在处理机(cpus)、多计算机系统中使用的装置 Pending CN1247613A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE19651075A DE19651075A1 (de) 1996-12-09 1996-12-09 Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
DE19651075.9 1996-12-09

Publications (1)

Publication Number Publication Date
CN1247613A true CN1247613A (zh) 2000-03-15

Family

ID=7814099

Family Applications (1)

Application Number Title Priority Date Filing Date
CN97181623A Pending CN1247613A (zh) 1996-12-09 1997-12-09 对数字运算和逻辑运算进行处理以及在处理机(cpus)、多计算机系统中使用的装置

Country Status (10)

Country Link
US (7) US6425068B1 (zh)
EP (3) EP0943129B1 (zh)
JP (2) JP3963957B2 (zh)
CN (1) CN1247613A (zh)
AT (1) ATE244421T1 (zh)
AU (1) AU5651498A (zh)
CA (1) CA2274532A1 (zh)
DE (4) DE19651075A1 (zh)
EA (1) EA004240B1 (zh)
WO (1) WO1998026356A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100361119C (zh) * 2001-05-10 2008-01-09 东京毅力科创株式会社 计算系统
CN100392661C (zh) * 2004-07-17 2008-06-04 周建龙 一种可编程测控设备的数据处理方法
CN113986817A (zh) * 2021-12-30 2022-01-28 中科声龙科技发展(北京)有限公司 运算芯片访问片内存储区域的方法和运算芯片

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
WO2002029600A2 (de) * 2000-10-06 2002-04-11 Pact Informationstechnologie Gmbh Zellenarordnung mit segmentierterwischenzellstruktur
DE19651075A1 (de) * 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
ATE243390T1 (de) 1996-12-27 2003-07-15 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen umladen von datenflussprozessoren (dfps) sowie bausteinen mit zwei- oder mehrdimensionalen programmierbaren zellstrukturen (fpgas, dpgas, o.dgl.)
DE19654846A1 (de) * 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen Umladen von Datenflußprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o. dgl.)
DE19704728A1 (de) 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Verfahren zur Selbstsynchronisation von konfigurierbaren Elementen eines programmierbaren Bausteines
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704742A1 (de) * 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internes Bussystem für DFPs, sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen, zur Bewältigung großer Datenmengen mit hohem Vernetzungsaufwand
US8686549B2 (en) 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
US5864703A (en) * 1997-10-09 1999-01-26 Mips Technologies, Inc. Method for providing extended precision in SIMD vector arithmetic operations
DE19861088A1 (de) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
DE19807872A1 (de) 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Verfahren zur Verwaltung von Konfigurationsdaten in Datenflußprozessoren sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstruktur (FPGAs, DPGAs, o. dgl.
US8230411B1 (en) 1999-06-10 2012-07-24 Martin Vorbach Method for interleaving a program over a plurality of cells
US6690677B1 (en) * 1999-07-20 2004-02-10 Serconet Ltd. Network for telephony and data communication
US6728863B1 (en) * 1999-10-26 2004-04-27 Assabet Ventures Wide connections for transferring data between PE's of an N-dimensional mesh-connected SIMD array while transferring operands from memory
US7139743B2 (en) * 2000-04-07 2006-11-21 Washington University Associative database scanning and information retrieval using FPGA devices
US6711558B1 (en) 2000-04-07 2004-03-23 Washington University Associative database scanning and information retrieval
WO2005048134A2 (en) 2002-05-21 2005-05-26 Washington University Intelligent data storage and processing using fpga devices
US8095508B2 (en) 2000-04-07 2012-01-10 Washington University Intelligent data storage and processing using FPGA devices
EP2226732A3 (de) 2000-06-13 2016-04-06 PACT XPP Technologies AG Cachehierarchie für einen Multicore-Prozessor
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US20040015899A1 (en) * 2000-10-06 2004-01-22 Frank May Method for processing data
US6691689B2 (en) * 2000-10-13 2004-02-17 Prüfrex-Elektro-Apparatebau, Inh. Helga Müller. Geb Dutschke Rotation direction detector in ignition equipment of an internal combustion engine
EP1220107A3 (en) * 2000-10-26 2005-01-05 Cypress Semiconductor Corporation Programmable digital device
US6982589B2 (en) * 2001-02-28 2006-01-03 Intel Corporation Multi-stage multiplexer
US9411532B2 (en) 2001-09-07 2016-08-09 Pact Xpp Technologies Ag Methods and systems for transferring data between a processing device and external devices
US7844796B2 (en) 2001-03-05 2010-11-30 Martin Vorbach Data processing device and method
US9141390B2 (en) 2001-03-05 2015-09-22 Pact Xpp Technologies Ag Method of processing data with an array of data processors according to application ID
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US7210129B2 (en) * 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
EP1454258A2 (de) 2001-03-05 2004-09-08 Pact Informationstechnologie GmbH Verfahren und vorrichtungen zur datenbe- und/oder verarbeitung
JP2009043276A (ja) * 2001-03-05 2009-02-26 Pact Xpp Technologies Ag Fifo記憶方法
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US7581076B2 (en) * 2001-03-05 2009-08-25 Pact Xpp Technologies Ag Methods and devices for treating and/or processing data
US9250908B2 (en) 2001-03-05 2016-02-02 Pact Xpp Technologies Ag Multi-processor bus and cache interconnection system
US9552047B2 (en) 2001-03-05 2017-01-24 Pact Xpp Technologies Ag Multiprocessor having runtime adjustable clock and clock dependent power supply
US7308500B1 (en) * 2001-03-16 2007-12-11 Symantec Operating Corporation Model for cost optimization and QoS tuning in hosted computing environments
US7624204B2 (en) 2001-03-22 2009-11-24 Nvidia Corporation Input/output controller node in an adaptable computing environment
EP1402382B1 (de) 2001-06-20 2010-08-18 Richter, Thomas Verfahren zur bearbeitung von daten
US10031733B2 (en) 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
CA2458643A1 (en) * 2001-08-17 2003-02-27 Incyte Genomics, Inc. Nucleic-acid associated proteins
US7434191B2 (en) 2001-09-03 2008-10-07 Pact Xpp Technologies Ag Router
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
AU2002338729A1 (en) 2001-09-19 2003-04-01 Pact Xpp Technologies Ag Router
ATE533111T1 (de) * 2001-09-19 2011-11-15 Richter Thomas Rekonfigurierbare elemente
DE10147772C1 (de) * 2001-09-27 2003-09-11 Siemens Ag Verfahren zum Betreiben eines Übertragungssystems und Übertragungssystem in einem Energieversorgungsnetz
US7594229B2 (en) * 2001-10-09 2009-09-22 Nvidia Corp. Predictive resource allocation in computing systems
US7111179B1 (en) 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US20090006659A1 (en) * 2001-10-19 2009-01-01 Collins Jack M Advanced mezzanine card for digital network data inspection
US7716330B2 (en) * 2001-10-19 2010-05-11 Global Velocity, Inc. System and method for controlling transmission of data packets over an information network
US7644279B2 (en) * 2001-12-05 2010-01-05 Nvidia Corporation Consumer product distribution in the embedded system market
AU2003208266A1 (en) 2002-01-19 2003-07-30 Pact Xpp Technologies Ag Reconfigurable processor
WO2003071432A2 (de) * 2002-02-18 2003-08-28 Pact Xpp Technologies Ag Bussysteme und rekonfigurationsverfahren
US9170812B2 (en) 2002-03-21 2015-10-27 Pact Xpp Technologies Ag Data processing system having integrated pipelined array data processor
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US7093255B1 (en) * 2002-05-31 2006-08-15 Quicksilver Technology, Inc. Method for estimating cost when placing operations within a modulo scheduler when scheduling for processors with a large number of function units or reconfigurable data paths
US7620678B1 (en) 2002-06-12 2009-11-17 Nvidia Corporation Method and system for reducing the time-to-market concerns for embedded system design
US7802108B1 (en) 2002-07-18 2010-09-21 Nvidia Corporation Secure storage of program code for an embedded system
AU2003286131A1 (en) 2002-08-07 2004-03-19 Pact Xpp Technologies Ag Method and device for processing data
US7657861B2 (en) 2002-08-07 2010-02-02 Pact Xpp Technologies Ag Method and device for processing data
US7711844B2 (en) 2002-08-15 2010-05-04 Washington University Of St. Louis TCP-splitter: reliable packet monitoring methods and apparatus for high speed networks
US7394284B2 (en) * 2002-09-06 2008-07-01 Pact Xpp Technologies Ag Reconfigurable sequencer structure
US7502915B2 (en) * 2002-09-30 2009-03-10 Nvidia Corporation System and method using embedded microprocessor as a node in an adaptable computing machine
US8949576B2 (en) * 2002-11-01 2015-02-03 Nvidia Corporation Arithmetic node including general digital signal processing functions for an adaptive computing machine
EP1431872A1 (en) * 2002-12-19 2004-06-23 Alcatel A digital signal processor with reconfigurable data path
US7617100B1 (en) 2003-01-10 2009-11-10 Nvidia Corporation Method and system for providing an excitation-pattern based audio coding scheme
US7076584B2 (en) * 2003-05-09 2006-07-11 Freescale Semiconductor, Inc. Method and apparatus for interconnecting portions of circuitry within a data processing system
US10572824B2 (en) 2003-05-23 2020-02-25 Ip Reservoir, Llc System and method for low latency multi-functional pipeline with correlation logic and selectively activated/deactivated pipelined data processing engines
US8296764B2 (en) * 2003-08-14 2012-10-23 Nvidia Corporation Internal synchronization control for adaptive integrated circuitry
EP1676208A2 (en) 2003-08-28 2006-07-05 PACT XPP Technologies AG Data processing device and method
US7669035B2 (en) * 2004-01-21 2010-02-23 The Charles Stark Draper Laboratory, Inc. Systems and methods for reconfigurable computing
US8018463B2 (en) * 2004-05-10 2011-09-13 Nvidia Corporation Processor for video data
US8130825B2 (en) * 2004-05-10 2012-03-06 Nvidia Corporation Processor for video data encoding/decoding
JP2006011924A (ja) * 2004-06-28 2006-01-12 Fujitsu Ltd 再構成可能演算装置および半導体装置
JP4120631B2 (ja) * 2004-10-05 2008-07-16 株式会社日立製作所 半導体集積回路
TWI256013B (en) * 2004-10-12 2006-06-01 Uli Electronics Inc Sound-effect processing circuit
US7765250B2 (en) * 2004-11-15 2010-07-27 Renesas Technology Corp. Data processor with internal memory structure for processing stream data
JP3810419B2 (ja) 2004-12-07 2006-08-16 松下電器産業株式会社 再構成可能な信号処理プロセッサ
WO2007062327A2 (en) * 2005-11-18 2007-05-31 Ideal Industries, Inc. Releasable wire connector
US7579864B2 (en) * 2005-11-25 2009-08-25 Panasonic Corporation Logic block control system and logic block control method
US7702629B2 (en) 2005-12-02 2010-04-20 Exegy Incorporated Method and device for high performance regular expression pattern matching
EP1974265A1 (de) 2006-01-18 2008-10-01 PACT XPP Technologies AG Hardwaredefinitionsverfahren
JP4838009B2 (ja) * 2006-02-22 2011-12-14 富士通セミコンダクター株式会社 リコンフィグラブル回路
CA2648896A1 (en) * 2006-04-19 2007-11-01 Queen's University At Kingston A hybrid nanotube/cmos dynamically reconfigurable architecture and an integrated design optimization method and system therefor
US7636703B2 (en) * 2006-05-02 2009-12-22 Exegy Incorporated Method and apparatus for approximate pattern matching
US7840482B2 (en) 2006-06-19 2010-11-23 Exegy Incorporated Method and system for high speed options pricing
US7921046B2 (en) * 2006-06-19 2011-04-05 Exegy Incorporated High speed processing of financial information using FPGA devices
WO2008023342A1 (en) * 2006-08-25 2008-02-28 Nxp B.V. Configurable logic device
US7999820B1 (en) 2006-10-23 2011-08-16 Nvidia Corporation Methods and systems for reusing memory addresses in a graphics system
US20080111923A1 (en) * 2006-11-09 2008-05-15 Scheuermann W James Processor for video data
US8326819B2 (en) 2006-11-13 2012-12-04 Exegy Incorporated Method and system for high performance data metatagging and data indexing using coprocessors
US7660793B2 (en) 2006-11-13 2010-02-09 Exegy Incorporated Method and system for high performance integration, processing and searching of structured and unstructured data using coprocessors
US8169789B1 (en) 2007-04-10 2012-05-01 Nvidia Corporation Graphics processing unit stiffening frame
US7987065B1 (en) 2007-04-17 2011-07-26 Nvidia Corporation Automatic quality testing of multimedia rendering by software drivers
US8572598B1 (en) 2007-04-18 2013-10-29 Nvidia Corporation Method and system for upgrading software in a computing device
US8726283B1 (en) 2007-06-04 2014-05-13 Nvidia Corporation Deadlock avoidance skid buffer
US7948500B2 (en) * 2007-06-07 2011-05-24 Nvidia Corporation Extrapolation of nonresident mipmap data using resident mipmap data
US7944453B1 (en) 2007-06-07 2011-05-17 Nvidia Corporation Extrapolation texture filtering for nonresident mipmaps
US8332680B2 (en) * 2007-08-13 2012-12-11 Rambus Inc. Methods and systems for operating memory in two modes
US8325184B2 (en) * 2007-09-14 2012-12-04 Qualcomm Incorporated Fragment shader bypass in a graphics processing unit, and apparatus and method thereof
US9081901B2 (en) * 2007-10-31 2015-07-14 Raytheon Company Means of control for reconfigurable computers
US7817488B2 (en) * 2007-12-20 2010-10-19 Sandisk Corporation Load balancing by using clock gears
US10229453B2 (en) 2008-01-11 2019-03-12 Ip Reservoir, Llc Method and system for low latency basket calculation
JP5251171B2 (ja) * 2008-03-06 2013-07-31 富士通セミコンダクター株式会社 論理回路装置
US8374986B2 (en) 2008-05-15 2013-02-12 Exegy Incorporated Method and system for accelerated stream processing
WO2010001412A2 (en) * 2008-07-01 2010-01-07 Nandy S K A method and system on chip (soc) for adapting a reconfigurable hardware for an application at runtime
US9152427B2 (en) 2008-10-15 2015-10-06 Hyperion Core, Inc. Instruction issue to array of arithmetic cells coupled to load/store cells with associated registers as extended register file
WO2013098643A2 (en) * 2011-12-16 2013-07-04 Hyperion Core Inc. Advanced processor architecture
US20120095893A1 (en) 2008-12-15 2012-04-19 Exegy Incorporated Method and apparatus for high-speed processing of financial market depth data
US8214592B2 (en) * 2009-04-15 2012-07-03 International Business Machines Corporation Dynamic runtime modification of array layout for offset
US9448964B2 (en) * 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
GB2471067B (en) 2009-06-12 2011-11-30 Graeme Roy Smith Shared resource multi-thread array processor
US8150902B2 (en) 2009-06-19 2012-04-03 Singular Computing Llc Processing with compact arithmetic processing element
EP2363812B1 (en) * 2010-03-04 2018-02-28 Karlsruher Institut für Technologie Reconfigurable processor architecture
US10037568B2 (en) 2010-12-09 2018-07-31 Ip Reservoir, Llc Method and apparatus for managing orders in financial markets
US8812287B2 (en) * 2011-02-08 2014-08-19 International Business Machines Corporation Autonomous, scalable, digital system for emulation of wired-or hardware connection
US9990393B2 (en) 2012-03-27 2018-06-05 Ip Reservoir, Llc Intelligent feed switch
US10650452B2 (en) 2012-03-27 2020-05-12 Ip Reservoir, Llc Offload processing of data packets
US11436672B2 (en) 2012-03-27 2022-09-06 Exegy Incorporated Intelligent switch for processing financial market data
US10121196B2 (en) 2012-03-27 2018-11-06 Ip Reservoir, Llc Offload processing of data packets containing financial market data
US10102260B2 (en) 2012-10-23 2018-10-16 Ip Reservoir, Llc Method and apparatus for accelerated data translation using record layout detection
WO2014066416A2 (en) 2012-10-23 2014-05-01 Ip Reservoir, Llc Method and apparatus for accelerated format translation of data in a delimited data format
US9633093B2 (en) 2012-10-23 2017-04-25 Ip Reservoir, Llc Method and apparatus for accelerated format translation of data in a delimited data format
JP6254834B2 (ja) * 2012-12-06 2017-12-27 株式会社半導体エネルギー研究所 半導体装置
US9442559B2 (en) 2013-03-14 2016-09-13 Intel Corporation Exploiting process variation in a multicore processor
GB2541577A (en) 2014-04-23 2017-02-22 Ip Reservoir Llc Method and apparatus for accelerated data translation
US9575778B2 (en) * 2014-05-20 2017-02-21 Via Alliance Semiconductor Co., Ltd. Dynamically configurable system based on cloud-collaborative experimentation
US10372285B2 (en) * 2015-04-14 2019-08-06 Ebay Inc. Standardizing user interface elements
CA2994188C (en) * 2015-07-31 2021-05-25 Guosheng Wu Self-adaptive chip and configuration method
KR102338863B1 (ko) 2015-09-09 2021-12-13 삼성전자주식회사 연산을 제어하기 위한 장치 및 방법
US10942943B2 (en) 2015-10-29 2021-03-09 Ip Reservoir, Llc Dynamic field data translation to support high performance stream data processing
US10073718B2 (en) 2016-01-15 2018-09-11 Intel Corporation Systems, methods and devices for determining work placement on processor cores
WO2018119035A1 (en) 2016-12-22 2018-06-28 Ip Reservoir, Llc Pipelines for hardware-accelerated machine learning
US10963265B2 (en) * 2017-04-21 2021-03-30 Micron Technology, Inc. Apparatus and method to switch configurable logic units
US10353709B2 (en) * 2017-09-13 2019-07-16 Nextera Video, Inc. Digital signal processing array using integrated processing elements
US11188497B2 (en) 2018-11-21 2021-11-30 SambaNova Systems, Inc. Configuration unload of a reconfigurable data processor
US10831507B2 (en) 2018-11-21 2020-11-10 SambaNova Systems, Inc. Configuration load of a reconfigurable data processor
US10698853B1 (en) 2019-01-03 2020-06-30 SambaNova Systems, Inc. Virtualization of a reconfigurable data processor
US10768899B2 (en) 2019-01-29 2020-09-08 SambaNova Systems, Inc. Matrix normal/transpose read and a reconfigurable data processor including same
US11386038B2 (en) 2019-05-09 2022-07-12 SambaNova Systems, Inc. Control flow barrier and reconfigurable data processor
US11055141B2 (en) 2019-07-08 2021-07-06 SambaNova Systems, Inc. Quiesce reconfigurable data processor
IT202000009358A1 (it) * 2020-04-29 2021-10-29 St Microelectronics Srl Circuito, dispositivo, sistema e procedimento corrispondenti
CN113568864A (zh) * 2020-04-29 2021-10-29 意法半导体股份有限公司 电路、对应的设备、系统和方法
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources
US11782729B2 (en) 2020-08-18 2023-10-10 SambaNova Systems, Inc. Runtime patching of configuration files
US11556494B1 (en) 2021-07-16 2023-01-17 SambaNova Systems, Inc. Defect repair for a reconfigurable data processor for homogeneous subarrays
US11327771B1 (en) 2021-07-16 2022-05-10 SambaNova Systems, Inc. Defect repair circuits for a reconfigurable data processor
US11409540B1 (en) 2021-07-16 2022-08-09 SambaNova Systems, Inc. Routing circuits for defect repair for a reconfigurable data processor
US11487694B1 (en) 2021-12-17 2022-11-01 SambaNova Systems, Inc. Hot-plug events in a pool of reconfigurable data flow resources
WO2024054233A1 (en) * 2022-09-09 2024-03-14 Nokia Solutions And Networks Oy Configurable wavefront parallel processor

Family Cites Families (557)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US555434A (en) * 1896-02-25 Pegging-machine
US2067477A (en) 1931-03-20 1937-01-12 Allis Chalmers Mfg Co Gearing
GB971191A (en) 1962-05-28 1964-09-30 Wolf Electric Tools Ltd Improvements relating to electrically driven equipment
US3564506A (en) * 1968-01-17 1971-02-16 Ibm Instruction retry byte counter
GB1253309A (en) 1969-11-21 1971-11-10 Marconi Co Ltd Improvements in or relating to data processing arrangements
DE2057312A1 (de) 1970-11-21 1972-05-25 Bhs Bayerische Berg Planetenradgetriebe mit Lastdruckausgleich
US5459846A (en) * 1988-12-02 1995-10-17 Hyatt; Gilbert P. Computer architecture system having an imporved memory
US3855577A (en) * 1973-06-11 1974-12-17 Texas Instruments Inc Power saving circuit for calculator system
US4233667A (en) * 1978-10-23 1980-11-11 International Business Machines Corporation Demand powered programmable logic array
JPS5858672A (ja) * 1981-07-24 1983-04-07 テキサス・インストルメンツ・インコ−ポレ−テツド 再構成可能集積回路
US4442508A (en) 1981-08-05 1984-04-10 General Instrument Corporation Storage cells for use in two conductor data column storage logic arrays
US4498134A (en) * 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
US4590583A (en) 1982-07-16 1986-05-20 At&T Bell Laboratories Coin telephone measurement circuitry
US4498172A (en) 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4667190A (en) 1982-07-30 1987-05-19 Honeywell Inc. Two axis fast access memory
JPS5936857A (ja) * 1982-08-25 1984-02-29 Nec Corp プロセツサユニツト
US4663706A (en) 1982-10-28 1987-05-05 Tandem Computers Incorporated Multiprocessor multisystem communications network
US4739474A (en) * 1983-03-10 1988-04-19 Martin Marietta Corporation Geometric-arithmetic parallel processor
US4566102A (en) 1983-04-18 1986-01-21 International Business Machines Corporation Parallel-shift error reconfiguration
US5123109A (en) * 1983-05-31 1992-06-16 Thinking Machines Corporation Parallel processor including a processor array with plural data transfer arrangements including (1) a global router and (2) a proximate-neighbor transfer system
US4571736A (en) 1983-10-31 1986-02-18 University Of Southwestern Louisiana Digital communication system employing differential coding and sample robbing
US4646300A (en) * 1983-11-14 1987-02-24 Tandem Computers Incorporated Communications method
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
JPS60198618A (ja) * 1984-03-21 1985-10-08 Oki Electric Ind Co Ltd ダイナミツク論理回路
US4761755A (en) * 1984-07-11 1988-08-02 Prime Computer, Inc. Data processing system and method having an improved arithmetic unit
US4642487A (en) * 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4682284A (en) 1984-12-06 1987-07-21 American Telephone & Telegraph Co., At&T Bell Lab. Queue administration method and apparatus
US4623997A (en) 1984-12-13 1986-11-18 United Technologies Corporation Coherent interface with wraparound receive and transmit memories
DE3681463D1 (de) 1985-01-29 1991-10-24 Secr Defence Brit Verarbeitungszelle fuer fehlertolerante matrixanordnungen.
US4720778A (en) 1985-01-31 1988-01-19 Hewlett Packard Company Software debugging analyzer
US5023775A (en) 1985-02-14 1991-06-11 Intel Corporation Software programmable logic array utilizing "and" and "or" gates
US5247689A (en) 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5015884A (en) 1985-03-29 1991-05-14 Advanced Micro Devices, Inc. Multiple array high performance programmable logic device family
US5225719A (en) 1985-03-29 1993-07-06 Advanced Micro Devices, Inc. Family of multiple segmented programmable logic blocks interconnected by a high speed centralized switch matrix
US4972314A (en) 1985-05-20 1990-11-20 Hughes Aircraft Company Data flow signal processor method and apparatus
US4967340A (en) 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
GB8517376D0 (en) 1985-07-09 1985-08-14 Jesshope C R Processor array
US4720780A (en) 1985-09-17 1988-01-19 The Johns Hopkins University Memory-linked wavefront array processor
EP0221360B1 (en) 1985-11-04 1992-12-30 International Business Machines Corporation Digital data message transmission networks and the establishing of communication paths therein
US4852048A (en) 1985-12-12 1989-07-25 Itt Corporation Single instruction multiple data (SIMD) cellular array processing apparatus employing a common bus where a first number of bits manifest a first bus portion and a second number of bits manifest a second bus portion
US4882687A (en) 1986-03-31 1989-11-21 Schlumberger Technology Corporation Pixel processor
US5021947A (en) 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
US5034914A (en) 1986-05-15 1991-07-23 Aquidneck Systems International, Inc. Optical disk data storage method and apparatus with buffered interface
GB8612396D0 (en) 1986-05-21 1986-06-25 Hewlett Packard Ltd Chain-configured interface bus system
US4791603A (en) 1986-07-18 1988-12-13 Honeywell Inc. Dynamically reconfigurable array logic
US4860201A (en) 1986-09-02 1989-08-22 The Trustees Of Columbia University In The City Of New York Binary tree parallel processor
US4910665A (en) * 1986-09-02 1990-03-20 General Electric Company Distributed processing system including reconfigurable elements
US5367208A (en) 1986-09-19 1994-11-22 Actel Corporation Reconfigurable programmable interconnect architecture
US4884231A (en) 1986-09-26 1989-11-28 Performance Semiconductor Corporation Microprocessor system with extended arithmetic logic unit
GB2211638A (en) 1987-10-27 1989-07-05 Ibm Simd array processor
FR2606184B1 (fr) 1986-10-31 1991-11-29 Thomson Csf Dispositif de calcul reconfigurable
US4918440A (en) 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
US4811214A (en) * 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US5226122A (en) 1987-08-21 1993-07-06 Compaq Computer Corp. Programmable logic system for filtering commands to a microprocessor
CA1299757C (en) 1987-08-28 1992-04-28 Brent Cameron Beardsley Device initiated partial system quiescing
US5115510A (en) * 1987-10-20 1992-05-19 Sharp Kabushiki Kaisha Multistage data flow processor with instruction packet, fetch, storage transmission and address generation controlled by destination information
US5113498A (en) 1987-11-10 1992-05-12 Echelon Corporation Input/output section for an intelligent cell which provides sensing, bidirectional communications and control
US4918690A (en) 1987-11-10 1990-04-17 Echelon Systems Corp. Network and intelligent cell for providing sensing, bidirectional communications and control
NL8800053A (nl) 1988-01-11 1989-08-01 Philips Nv Videoprocessorsysteem, alsmede afbeeldingssysteem en beeldopslagsysteem, voorzien van een dergelijk videoprocessorsysteem.
NL8800071A (nl) 1988-01-13 1989-08-01 Philips Nv Dataprocessorsysteem en videoprocessorsysteem, voorzien van een dergelijk dataprocessorsysteem.
USRE34444E (en) 1988-01-13 1993-11-16 Xilinx, Inc. Programmable logic device
ATE109910T1 (de) 1988-01-20 1994-08-15 Advanced Micro Devices Inc Organisation eines integrierten cachespeichers zur flexiblen anwendung zur unterstützung von multiprozessor-operationen.
US5303172A (en) 1988-02-16 1994-04-12 Array Microsystems Pipelined combination and vector signal processor
US4959781A (en) 1988-05-16 1990-09-25 Stardent Computer, Inc. System for assigning interrupts to least busy processor that already loaded same class of interrupt routines
JPH06101043B2 (ja) 1988-06-30 1994-12-12 三菱電機株式会社 マイクロコンピュータ
US5287511A (en) 1988-07-11 1994-02-15 Star Semiconductor Corporation Architectures and methods for dividing processing tasks into tasks for a programmable real time signal processor and tasks for a decision making microprocessor interfacing therewith
WO1990001192A1 (en) 1988-07-22 1990-02-08 United States Department Of Energy Data flow machine for data driven computing
US5010401A (en) 1988-08-11 1991-04-23 Mitsubishi Denki Kabushiki Kaisha Picture coding and decoding apparatus using vector quantization
US5204935A (en) 1988-08-19 1993-04-20 Fuji Xerox Co., Ltd. Programmable fuzzy logic circuits
US4901268A (en) 1988-08-19 1990-02-13 General Electric Company Multiple function data processor
US5353432A (en) 1988-09-09 1994-10-04 Compaq Computer Corporation Interactive method for configuration of computer system and circuit boards with user specification of system resources and computer resolution of resource conflicts
EP0363631B1 (de) * 1988-09-22 1993-12-15 Siemens Aktiengesellschaft Schaltungsanordnung für Fernmeldevermittlungsanlagen, insbesondere PCM-Zeitmultiplex-Fernsprechvermittlungsanlagen mit Zentralkoppelfeld und angeschlossenen Teilkoppelfeldern
DE68925121T2 (de) 1988-10-05 1996-06-13 Quickturn Systems Inc Verfahren zur verwendung einer elektronisch wiederkonfigurierbaren gatterfeld-logik und dadurch hergestelltes gerät
WO1990004235A1 (en) 1988-10-07 1990-04-19 Martin Marietta Corporation Parallel data processor
US5014193A (en) 1988-10-14 1991-05-07 Compaq Computer Corporation Dynamically configurable portable computer system
JPH02130023A (ja) * 1988-11-10 1990-05-18 Fujitsu Ltd マルチファンクション・プログラマブル・ロジック・デバイス
US5136717A (en) 1988-11-23 1992-08-04 Flavors Technology Inc. Realtime systolic, multiple-instruction, single-data parallel computer system
US5041924A (en) 1988-11-30 1991-08-20 Quantum Corporation Removable and transportable hard disk subsystem
US5043879A (en) * 1989-01-12 1991-08-27 International Business Machines Corporation PLA microcode controller
US5081375A (en) 1989-01-19 1992-01-14 National Semiconductor Corp. Method for operating a multiple page programmable logic device
GB8906145D0 (en) 1989-03-17 1989-05-04 Algotronix Ltd Configurable cellular array
US5203005A (en) 1989-05-02 1993-04-13 Horst Robert W Cell structure for linear array wafer scale integration architecture with capability to open boundary i/o bus without neighbor acknowledgement
US5237686A (en) 1989-05-10 1993-08-17 Mitsubishi Denki Kabushiki Kaisha Multiprocessor type time varying image encoding system and image processor with memory bus control table for arbitration priority
US5109503A (en) 1989-05-22 1992-04-28 Ge Fanuc Automation North America, Inc. Apparatus with reconfigurable counter includes memory for storing plurality of counter configuration files which respectively define plurality of predetermined counters
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
CA2021192A1 (en) * 1989-07-28 1991-01-29 Malcolm A. Mumme Simplified synchronous mesh processor
US5233539A (en) 1989-08-15 1993-08-03 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure, input/output structure and configurable logic block
US5489857A (en) 1992-08-03 1996-02-06 Advanced Micro Devices, Inc. Flexible synchronous/asynchronous cell structure for a high density programmable logic device
US5212652A (en) 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5128559A (en) 1989-09-29 1992-07-07 Sgs-Thomson Microelectronics, Inc. Logic block for programmable logic devices
JP2968289B2 (ja) 1989-11-08 1999-10-25 株式会社リコー 中央演算処理装置
GB8925721D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
GB8925723D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
US5212777A (en) 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
US5522083A (en) 1989-11-17 1996-05-28 Texas Instruments Incorporated Reconfigurable multi-processor operating in SIMD mode with one processor fetching instructions for use by remaining processors
DE58908974D1 (de) * 1989-11-21 1995-03-16 Itt Ind Gmbh Deutsche Datengesteuerter Arrayprozessor.
US5099447A (en) 1990-01-22 1992-03-24 Alliant Computer Systems Corporation Blocked matrix multiplication for computers with hierarchical memory
US5125801A (en) 1990-02-02 1992-06-30 Isco, Inc. Pumping system
US5142469A (en) 1990-03-29 1992-08-25 Ge Fanuc Automation North America, Inc. Method for converting a programmable logic controller hardware configuration and corresponding control program for use on a first programmable logic controller to use on a second programmable logic controller
US5555201A (en) 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
EP0463721A3 (en) * 1990-04-30 1993-06-16 Gennum Corporation Digital signal processing device
US5355508A (en) 1990-05-07 1994-10-11 Mitsubishi Denki Kabushiki Kaisha Parallel data processing system combining a SIMD unit with a MIMD unit and sharing a common bus, memory, and system controller
US5198705A (en) 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5483620A (en) 1990-05-22 1996-01-09 International Business Machines Corp. Learning machine synapse processor system apparatus
US5193202A (en) 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
US5111079A (en) 1990-06-29 1992-05-05 Sgs-Thomson Microelectronics, Inc. Power reduction circuit for programmable logic device
SE9002558D0 (sv) 1990-08-02 1990-08-02 Carlstedt Elektronik Ab Processor
US5274593A (en) 1990-09-28 1993-12-28 Intergraph Corporation High speed redundant rows and columns for semiconductor memories
US5144166A (en) 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5765011A (en) * 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
US5734921A (en) * 1990-11-13 1998-03-31 International Business Machines Corporation Advanced parallel array processor computer package
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
US5590345A (en) 1990-11-13 1996-12-31 International Business Machines Corporation Advanced parallel array processor(APAP)
US5752067A (en) 1990-11-13 1998-05-12 International Business Machines Corporation Fully scalable parallel processing system having asynchronous SIMD processing
US5588152A (en) 1990-11-13 1996-12-24 International Business Machines Corporation Advanced parallel processor including advanced support hardware
US5794059A (en) 1990-11-13 1998-08-11 International Business Machines Corporation N-dimensional modified hypercube
US5617577A (en) 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
ATE180586T1 (de) * 1990-11-13 1999-06-15 Ibm Paralleles assoziativprozessor-system
CA2051222C (en) * 1990-11-30 1998-05-05 Pradeep S. Sindhu Consistent packet switched memory bus for shared memory multiprocessors
US5613128A (en) 1990-12-21 1997-03-18 Intel Corporation Programmable multi-processor interrupt controller system with a processor integrated local interrupt controller
US5276836A (en) 1991-01-10 1994-01-04 Hitachi, Ltd. Data processing device with common memory connecting mechanism
US5301284A (en) 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5301344A (en) 1991-01-29 1994-04-05 Analogic Corporation Multibus sequential processor to perform in parallel a plurality of reconfigurable logic operations on a plurality of data sets
JP2867717B2 (ja) 1991-02-01 1999-03-10 日本電気株式会社 マイクロコンピュータ
US5212716A (en) 1991-02-05 1993-05-18 International Business Machines Corporation Data edge phase sorting circuits
US5218302A (en) 1991-02-06 1993-06-08 Sun Electric Corporation Interface for coupling an analyzer to a distributorless ignition system
DE59107764D1 (de) 1991-02-22 1996-06-05 Siemens Ag Speicherprogrammierbare Steuerung
JPH04290155A (ja) 1991-03-19 1992-10-14 Fujitsu Ltd 並列データ処理方式
JPH04293151A (ja) 1991-03-20 1992-10-16 Fujitsu Ltd 並列データ処理方式
US5617547A (en) 1991-03-29 1997-04-01 International Business Machines Corporation Switch network extension of bus architecture
KR0125623B1 (ko) 1991-04-09 1998-07-01 세끼자와 다다시 데이타 프로세서 및 데이타 처리방법
JPH04328657A (ja) * 1991-04-30 1992-11-17 Toshiba Corp キャッシュメモリ
EP0539596A4 (en) 1991-05-14 1993-10-13 Idemitsu Kosan Company Limited Nonwoven fabric and method of manufacturing said fabric
US5551033A (en) * 1991-05-17 1996-08-27 Zenith Data Systems Corporation Apparatus for maintaining one interrupt mask register in conformity with another in a manner invisible to an executing program
WO1992022029A1 (en) 1991-05-24 1992-12-10 British Technology Group Usa, Inc. Optimizing compiler for computers
US5659797A (en) 1991-06-24 1997-08-19 U.S. Philips Corporation Sparc RISC based computer system including a single chip processor with memory management and DMA units coupled to a DRAM interface
JP3259969B2 (ja) 1991-07-09 2002-02-25 株式会社東芝 キャッシュメモリ制御装置
US5347639A (en) 1991-07-15 1994-09-13 International Business Machines Corporation Self-parallelizing computer system and method
US5338984A (en) 1991-08-29 1994-08-16 National Semiconductor Corp. Local and express diagonal busses in a configurable logic array
US5581731A (en) 1991-08-30 1996-12-03 King; Edward C. Method and apparatus for managing video data for faster access by selectively caching video data
US5260610A (en) 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5633830A (en) 1995-11-08 1997-05-27 Altera Corporation Random access memory block circuitry for programmable logic array integrated circuit devices
FR2681791B1 (fr) 1991-09-27 1994-05-06 Salomon Sa Dispositif d'amortissement des vibrations pour club de golf.
US5329178A (en) * 1991-11-27 1994-07-12 North American Philips Corporation Integrated circuit device with user-programmable conditional power-down means
CA2073516A1 (en) 1991-11-27 1993-05-28 Peter Michael Kogge Dynamic multi-mode parallel processor array architecture computer system
WO1993011503A1 (en) 1991-12-06 1993-06-10 Norman Richard S Massively-parallel direct output processor array
US5208491A (en) 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
FR2686175B1 (fr) 1992-01-14 1996-12-20 Andre Thepaut Systeme de traitement de donnees multiprocesseur.
US5412795A (en) 1992-02-25 1995-05-02 Micral, Inc. State machine having a variable timing mechanism for varying the duration of logical output states of the state machine based on variation in the clock frequency
JP2791243B2 (ja) 1992-03-13 1998-08-27 株式会社東芝 階層間同期化システムおよびこれを用いた大規模集積回路
US5452401A (en) * 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
JP2647327B2 (ja) 1992-04-06 1997-08-27 インターナショナル・ビジネス・マシーンズ・コーポレイション 大規模並列コンピューティング・システム装置
US5493663A (en) * 1992-04-22 1996-02-20 International Business Machines Corporation Method and apparatus for predetermining pages for swapping from physical memory in accordance with the number of accesses
US5258668A (en) * 1992-05-08 1993-11-02 Altera Corporation Programmable logic array integrated circuits with cascade connections between logic modules
US5611049A (en) * 1992-06-03 1997-03-11 Pitts; William M. System for accessing distributed data cache channel at each network node to pass requests and data
WO1993024895A2 (en) 1992-06-04 1993-12-09 Xilinx, Inc. Timing driven method for laying out a user's circuit onto a programmable integrated circuit device
DE4221278C2 (de) 1992-06-29 1996-02-29 Martin Vorbach Busgekoppeltes Mehrrechnersystem
US5475803A (en) 1992-07-10 1995-12-12 Lsi Logic Corporation Method for 2-D affine transformation of images
JP3032382B2 (ja) 1992-07-13 2000-04-17 シャープ株式会社 デジタル信号のサンプリング周波数変換装置
US5386154A (en) 1992-07-23 1995-01-31 Xilinx, Inc. Compact logic cell for field programmable gate array chip
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5590348A (en) 1992-07-28 1996-12-31 International Business Machines Corporation Status predictor for combined shifter-rotate/merge unit
US5802290A (en) 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5581778A (en) * 1992-08-05 1996-12-03 David Sarnoff Researach Center Advanced massively parallel computer using a field of the instruction to selectively enable the profiling counter to increase its value in response to the system clock
DE69328917T2 (de) 1992-09-03 2000-12-28 Sony Corp Datenaufzeichnungsgerät und -verfahren
US5572710A (en) 1992-09-11 1996-11-05 Kabushiki Kaisha Toshiba High speed logic simulation system using time division emulation suitable for large scale logic circuits
US5425036A (en) 1992-09-18 1995-06-13 Quickturn Design Systems, Inc. Method and apparatus for debugging reconfigurable emulation systems
JPH06180653A (ja) 1992-10-02 1994-06-28 Hudson Soft Co Ltd 割り込み処理方法および装置
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5497498A (en) 1992-11-05 1996-03-05 Giga Operations Corporation Video processing module using a second programmable logic device which reconfigures a first programmable logic device for data transformation
US5857109A (en) 1992-11-05 1999-01-05 Giga Operations Corporation Programmable logic device for real time video processing
US5392437A (en) * 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5311079A (en) * 1992-12-17 1994-05-10 Ditlow Gary S Low power, high performance PLA
US5428526A (en) 1993-02-03 1995-06-27 Flood; Mark A. Programmable controller with time periodic communication
US5386518A (en) 1993-02-12 1995-01-31 Hughes Aircraft Company Reconfigurable computer interface and method
GB9303084D0 (en) 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH06276086A (ja) 1993-03-18 1994-09-30 Fuji Xerox Co Ltd フィールドプログラマブルゲートアレイ
US5548773A (en) 1993-03-30 1996-08-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Digital parallel processor array for optimum path planning
US5596742A (en) 1993-04-02 1997-01-21 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5418953A (en) 1993-04-12 1995-05-23 Loral/Rohm Mil-Spec Corp. Method for automated deployment of a software program onto a multi-processor architecture
US5473266A (en) 1993-04-19 1995-12-05 Altera Corporation Programmable logic device having fast programmable logic array blocks and a central global interconnect array
WO1994025917A1 (en) 1993-04-26 1994-11-10 Comdisco Systems, Inc. Method for scheduling synchronous data flow graphs
US5497107A (en) * 1993-05-13 1996-03-05 Texas Instruments Incorporated Multiple, selectable PLAS having shared inputs and outputs
DE4416881C2 (de) * 1993-05-13 1998-03-19 Pact Inf Tech Gmbh Verfahren zum Betrieb einer Datenverarbeitungseinrichtung
US5349193A (en) 1993-05-20 1994-09-20 Princeton Gamma Tech, Inc. Highly sensitive nuclear spectrometer apparatus and method
IT1260848B (it) 1993-06-11 1996-04-23 Finmeccanica Spa Sistema a multiprocessore
US5444394A (en) 1993-07-08 1995-08-22 Altera Corporation PLD with selective inputs from local and global conductors
JPH0736858A (ja) 1993-07-21 1995-02-07 Hitachi Ltd 信号処理プロセッサ
WO1995004402A1 (en) * 1993-08-03 1995-02-09 Xilinx, Inc. Microprocessor-based fpga
CA2129882A1 (en) * 1993-08-12 1995-02-13 Soheil Shams Dynamically reconfigurable interprocessor communication network for simd multiprocessors and apparatus implementing same
US5457644A (en) 1993-08-20 1995-10-10 Actel Corporation Field programmable digital signal processing array integrated circuit
GB2282244B (en) 1993-09-23 1998-01-14 Advanced Risc Mach Ltd Integrated circuit
US5440538A (en) 1993-09-23 1995-08-08 Massachusetts Institute Of Technology Communication system with redundant links and data bit time multiplexing
US6219688B1 (en) 1993-11-30 2001-04-17 Texas Instruments Incorporated Method, apparatus and system for sum of plural absolute differences
US5455525A (en) 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US5535406A (en) 1993-12-29 1996-07-09 Kolchinsky; Alexander Virtual processor module including a reconfigurable programmable matrix
US5680583A (en) 1994-02-16 1997-10-21 Arkos Design, Inc. Method and apparatus for a trace buffer in an emulation system
EP1037149A3 (en) 1994-03-22 2003-10-15 Hyperchip Inc. Efficient direct cell replacement fault tolerant architecture supporting completey integrated systems with means for direct communication with system operator
US5561738A (en) 1994-03-25 1996-10-01 Motorola, Inc. Data processor for executing a fuzzy logic operation and method therefor
US5504439A (en) 1994-04-01 1996-04-02 Xilinx, Inc. I/O interface cell for use with optional pad
US5430687A (en) 1994-04-01 1995-07-04 Xilinx, Inc. Programmable logic device including a parallel input device for loading memory cells
US5781756A (en) 1994-04-01 1998-07-14 Xilinx, Inc. Programmable logic device with partially configurable memory cells and a method for configuration
US5761484A (en) 1994-04-01 1998-06-02 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5896551A (en) * 1994-04-15 1999-04-20 Micron Technology, Inc. Initializing and reprogramming circuitry for state independent memory array burst operations control
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
US5677909A (en) * 1994-05-11 1997-10-14 Spectrix Corporation Apparatus for exchanging data between a central station and a plurality of wireless remote stations on a time divided commnication channel
JP2671804B2 (ja) 1994-05-27 1997-11-05 日本電気株式会社 階層型資源管理方法
US5532693A (en) 1994-06-13 1996-07-02 Advanced Hardware Architectures Adaptive data compression system with systolic string matching logic
EP0690378A1 (en) 1994-06-30 1996-01-03 Tandem Computers Incorporated Tool and method for diagnosing and correcting errors in a computer programm
JP3308770B2 (ja) 1994-07-22 2002-07-29 三菱電機株式会社 情報処理装置および情報処理装置における計算方法
US5600845A (en) * 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
JP3365581B2 (ja) 1994-07-29 2003-01-14 富士通株式会社 自己修復機能付き情報処理装置
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5513366A (en) 1994-09-28 1996-04-30 International Business Machines Corporation Method and system for dynamically reconfiguring a register file in a vector processor
US5619720A (en) 1994-10-04 1997-04-08 Analog Devices, Inc. Digital signal processor having link ports for point-to-point communication
US5450022A (en) 1994-10-07 1995-09-12 Xilinx Inc. Structure and method for configuration of a field programmable gate array
EP0707269A1 (en) 1994-10-11 1996-04-17 International Business Machines Corporation Cache coherence network for a multiprocessor data processing system
US5530946A (en) 1994-10-28 1996-06-25 Dell Usa, L.P. Processor failure detection and recovery circuit in a dual processor computer system and method of operation thereof
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
JPH08137824A (ja) 1994-11-15 1996-05-31 Mitsubishi Semiconductor Software Kk セルフテスト機能内蔵シングルチップマイコン
EP0721157A1 (en) * 1994-12-12 1996-07-10 Advanced Micro Devices, Inc. Microprocessor with selectable clock frequency
US5537580A (en) 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language
US6128720A (en) 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5682491A (en) 1994-12-29 1997-10-28 International Business Machines Corporation Selective processing and routing of results among processors controlled by decoding instructions using mask value derived from instruction tag and processor identifier
US5581199A (en) * 1995-01-04 1996-12-03 Xilinx, Inc. Interconnect architecture for field programmable gate array using variable length conductors
US5778237A (en) * 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
US5696791A (en) 1995-01-17 1997-12-09 Vtech Industries, Inc. Apparatus and method for decoding a sequence of digitally encoded data
US5680597A (en) * 1995-01-26 1997-10-21 International Business Machines Corporation System with flexible local control for modifying same instruction partially in different processor of a SIMD computer system to execute dissimilar sequences of instructions
US5532957A (en) 1995-01-31 1996-07-02 Texas Instruments Incorporated Field reconfigurable logic/memory array
US5493239A (en) 1995-01-31 1996-02-20 Motorola, Inc. Circuit and method of configuring a field programmable gate array
US6052773A (en) 1995-02-10 2000-04-18 Massachusetts Institute Of Technology DPGA-coupled microprocessors
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5659785A (en) 1995-02-10 1997-08-19 International Business Machines Corporation Array processor communication architecture with broadcast processor instructions
US5537057A (en) 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
WO1996025701A1 (en) * 1995-02-14 1996-08-22 Vlsi Technology, Inc. Method and apparatus for reducing power consumption in digital electronic circuits
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
EP0727750B1 (en) * 1995-02-17 2004-05-12 Kabushiki Kaisha Toshiba Continuous data server apparatus and data transfer scheme enabling multiple simultaneous data accesses
US5675743A (en) 1995-02-22 1997-10-07 Callisto Media Systems Inc. Multi-media server
JP3351452B2 (ja) * 1995-03-08 2002-11-25 日本電信電話株式会社 プログラマブルゲートアレイ
US5570040A (en) 1995-03-22 1996-10-29 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5757207A (en) 1995-03-22 1998-05-26 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
JP3313007B2 (ja) * 1995-04-14 2002-08-12 三菱電機株式会社 マイクロコンピュータ
US5794062A (en) * 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US6077315A (en) 1995-04-17 2000-06-20 Ricoh Company Ltd. Compiling system and method for partially reconfigurable computing
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
EP0823091A1 (en) * 1995-04-28 1998-02-11 Xilinx, Inc. Microprocessor with distributed registers accessible by programmable logic device
US5600597A (en) 1995-05-02 1997-02-04 Xilinx, Inc. Register protection structure for FPGA
GB9508931D0 (en) 1995-05-02 1995-06-21 Xilinx Inc Programmable switch for FPGA input/output signals
US5701091A (en) 1995-05-02 1997-12-23 Xilinx, Inc. Routing resources for hierarchical FPGA
US5541530A (en) 1995-05-17 1996-07-30 Altera Corporation Programmable logic array integrated circuits with blocks of logic regions grouped into super-blocks
US5649179A (en) * 1995-05-19 1997-07-15 Motorola, Inc. Dynamic instruction allocation for a SIMD processor
US5821774A (en) 1995-05-26 1998-10-13 Xilinx, Inc. Structure and method for arithmetic function implementation in an EPLD having high speed product term allocation structure
JPH08328941A (ja) 1995-05-31 1996-12-13 Nec Corp メモリアクセス制御回路
JP3677315B2 (ja) 1995-06-01 2005-07-27 シャープ株式会社 データ駆動型情報処理装置
US5671432A (en) 1995-06-02 1997-09-23 International Business Machines Corporation Programmable array I/O-routing resource
US5652529A (en) 1995-06-02 1997-07-29 International Business Machines Corporation Programmable array clock/reset resource
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5815715A (en) 1995-06-05 1998-09-29 Motorola, Inc. Method for designing a product having hardware and software components and product therefor
US5889982A (en) * 1995-07-01 1999-03-30 Intel Corporation Method and apparatus for generating event handler vectors based on both operating mode and event type
US5559450A (en) 1995-07-27 1996-09-24 Lucent Technologies Inc. Field programmable gate array with multi-port RAM
US5978583A (en) 1995-08-07 1999-11-02 International Business Machines Corp. Method for resource control in parallel environments using program organization and run-time support
US5649176A (en) * 1995-08-10 1997-07-15 Virtual Machine Works, Inc. Transition analysis and circuit resynthesis method and device for digital circuit modeling
US5996083A (en) * 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
GB2304438A (en) 1995-08-17 1997-03-19 Kenneth Austin Re-configurable application specific device
US5583450A (en) 1995-08-18 1996-12-10 Xilinx, Inc. Sequencer for a time multiplexed programmable logic device
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5737565A (en) 1995-08-24 1998-04-07 International Business Machines Corporation System and method for diallocating stream from a stream buffer
US5737516A (en) * 1995-08-30 1998-04-07 Motorola, Inc. Data processing system for performing a debug function and method therefor
US5734869A (en) 1995-09-06 1998-03-31 Chen; Duan-Ping High speed logic circuit simulator
US6430309B1 (en) 1995-09-15 2002-08-06 Monogen, Inc. Specimen preview and inspection system
US5652894A (en) * 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for providing power saving modes to a pipelined processor
US5745734A (en) 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
US5754827A (en) * 1995-10-13 1998-05-19 Mentor Graphics Corporation Method and apparatus for performing fully visible tracing of an emulation
US5815004A (en) 1995-10-16 1998-09-29 Xilinx, Inc. Multi-buffered configurable logic block output lines in a field programmable gate array
US5642058A (en) 1995-10-16 1997-06-24 Xilinx , Inc. Periphery input/output interconnect structure
US5608342A (en) 1995-10-23 1997-03-04 Xilinx, Inc. Hierarchical programming of electrically configurable integrated circuits
US5675262A (en) 1995-10-26 1997-10-07 Xilinx, Inc. Fast carry-out scheme in a field programmable gate array
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
US5943242A (en) * 1995-11-17 1999-08-24 Pact Gmbh Dynamically reconfigurable data processing system
US5732209A (en) * 1995-11-29 1998-03-24 Exponential Technology, Inc. Self-testing multi-processor die with internal compare points
US5773994A (en) * 1995-12-15 1998-06-30 Cypress Semiconductor Corp. Method and apparatus for implementing an internal tri-state bus within a programmable logic circuit
JPH09231788A (ja) * 1995-12-19 1997-09-05 Fujitsu Ltd シフトレジスタ及びプログラマブル論理回路並びにプログラマブル論理回路システム
WO2002029600A2 (de) 2000-10-06 2002-04-11 Pact Informationstechnologie Gmbh Zellenarordnung mit segmentierterwischenzellstruktur
US7266725B2 (en) * 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US5804986A (en) 1995-12-29 1998-09-08 Cypress Semiconductor Corp. Memory in a programmable logic device
JP3247043B2 (ja) 1996-01-12 2002-01-15 株式会社日立製作所 内部信号で障害検出を行う情報処理システムおよび論理lsi
US5760602A (en) * 1996-01-17 1998-06-02 Hewlett-Packard Company Time multiplexing a plurality of configuration settings of a programmable switch element in a FPGA
JP2795244B2 (ja) 1996-01-17 1998-09-10 日本電気株式会社 プログラムデバッグシステム
US5854918A (en) 1996-01-24 1998-12-29 Ricoh Company Ltd. Apparatus and method for self-timed algorithmic execution
US5936424A (en) 1996-02-02 1999-08-10 Xilinx, Inc. High speed bus with tree structure for selecting bus driver
US5635851A (en) 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5754459A (en) 1996-02-08 1998-05-19 Xilinx, Inc. Multiplier circuit design for a programmable logic device
KR0165515B1 (ko) 1996-02-17 1999-01-15 김광호 그래픽 데이터의 선입선출기 및 선입선출 방법
GB9604496D0 (en) 1996-03-01 1996-05-01 Xilinx Inc Embedded memory for field programmable gate array
US6020758A (en) * 1996-03-11 2000-02-01 Altera Corporation Partially reconfigurable programmable logic device
US5841973A (en) 1996-03-13 1998-11-24 Cray Research, Inc. Messaging in distributed memory multiprocessing system having shell circuitry for atomic control of message storage queue's tail pointer structure in local memory
US5698338A (en) 1996-03-15 1997-12-16 Valence Technology, Inc. Solid secondary lithium cell based on Lix Niy Co1-y VO4< or=x< or=1.1 and 0<y<1 cathode material
US6279077B1 (en) 1996-03-22 2001-08-21 Texas Instruments Incorporated Bus interface buffer control in a microprocessor
US6311265B1 (en) 1996-03-25 2001-10-30 Torrent Systems, Inc. Apparatuses and methods for programming parallel computers
US6154049A (en) 1998-03-27 2000-11-28 Xilinx, Inc. Multiplier fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US6173434B1 (en) * 1996-04-22 2001-01-09 Brigham Young University Dynamically-configurable digital processor using method for relocating logic array modules
US5960200A (en) 1996-05-03 1999-09-28 I-Cube System to transition an enterprise to a distributed infrastructure
US5894565A (en) 1996-05-20 1999-04-13 Atmel Corporation Field programmable gate array with distributed RAM and increased cell utilization
US5784636A (en) * 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US5892370A (en) * 1996-06-21 1999-04-06 Quicklogic Corporation Clock network for field programmable gate array
JP2000513523A (ja) * 1996-06-21 2000-10-10 オーガニック システムズ インコーポレイテッド プロセスの即時制御を行う動的に再構成可能なハードウェアシステム
US6785826B1 (en) * 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
US6023742A (en) * 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
US6023564A (en) 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
KR100280285B1 (ko) 1996-08-19 2001-02-01 윤종용 멀티미디어 신호에 적합한 멀티미디어 프로세서
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
US6624658B2 (en) 1999-02-04 2003-09-23 Advantage Logic, Inc. Method and apparatus for universal program controlled bus architecture
US5859544A (en) * 1996-09-05 1999-01-12 Altera Corporation Dynamic configurable elements for programmable logic devices
US6049866A (en) 1996-09-06 2000-04-11 Silicon Graphics, Inc. Method and system for an efficient user mode cache manipulation using a simulated instruction
US5828858A (en) 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US6178494B1 (en) 1996-09-23 2001-01-23 Virtual Computer Corporation Modular, hybrid processor and method for producing a modular, hybrid processor
US5694602A (en) 1996-10-01 1997-12-02 The United States Of America As Represented By The Secretary Of The Air Force Weighted system and method for spatial allocation of a parallel load
SG125044A1 (en) 1996-10-14 2006-09-29 Mitsubishi Gas Chemical Co Oxygen absorption composition
US5901279A (en) * 1996-10-18 1999-05-04 Hughes Electronics Corporation Connection of spares between multiple programmable devices
US5892962A (en) * 1996-11-12 1999-04-06 Lucent Technologies Inc. FPGA-based processor
US5844422A (en) 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US5860119A (en) 1996-11-25 1999-01-12 Vlsi Technology, Inc. Data-packet fifo buffer system with end-of-packet flags
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
DE19651075A1 (de) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
DE19654593A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh Umkonfigurierungs-Verfahren für programmierbare Bausteine zur Laufzeit
US6338106B1 (en) * 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654846A1 (de) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen Umladen von Datenflußprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o. dgl.)
ATE243390T1 (de) 1996-12-27 2003-07-15 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen umladen von datenflussprozessoren (dfps) sowie bausteinen mit zwei- oder mehrdimensionalen programmierbaren zellstrukturen (fpgas, dpgas, o.dgl.)
US6427156B1 (en) 1997-01-21 2002-07-30 Xilinx, Inc. Configurable logic block with AND gate for efficient multiplication in FPGAS
EP0858168A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor array
EP0858167A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor device
DE19704044A1 (de) 1997-02-04 1998-08-13 Pact Inf Tech Gmbh Verfahren zur automatischen Adressgenerierung von Bausteinen innerhalb Clustern aus einer Vielzahl dieser Bausteine
US5865239A (en) * 1997-02-05 1999-02-02 Micropump, Inc. Method for making herringbone gears
US6055619A (en) 1997-02-07 2000-04-25 Cirrus Logic, Inc. Circuits, system, and methods for processing multiple data streams
DE19704728A1 (de) * 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Verfahren zur Selbstsynchronisation von konfigurierbaren Elementen eines programmierbaren Bausteines
US6542998B1 (en) * 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704742A1 (de) 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internes Bussystem für DFPs, sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen, zur Bewältigung großer Datenmengen mit hohem Vernetzungsaufwand
US6150837A (en) 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
WO1998038958A1 (en) 1997-03-05 1998-09-11 Massachusetts Institute Of Technology A reconfigurable footprint mechanism for omnidirectional vehicles
US5857097A (en) 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
US5884075A (en) * 1997-03-10 1999-03-16 Compaq Computer Corporation Conflict resolution using self-contained virtual devices
US6125408A (en) 1997-03-10 2000-09-26 Compaq Computer Corporation Resource type prioritization in generating a device configuration
GB2323188B (en) * 1997-03-14 2002-02-06 Nokia Mobile Phones Ltd Enabling and disabling clocking signals to elements
US6085317A (en) 1997-08-15 2000-07-04 Altera Corporation Reconfigurable computer architecture using programmable logic devices
US6349379B2 (en) 1997-04-30 2002-02-19 Canon Kabushiki Kaisha System for executing instructions having flag for indicating direct or indirect specification of a length of operand data
AUPO647997A0 (en) 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Memory controller architecture
US6389379B1 (en) * 1997-05-02 2002-05-14 Axis Systems, Inc. Converification system and method
US6321366B1 (en) 1997-05-02 2001-11-20 Axis Systems, Inc. Timing-insensitive glitch-free logic system and method
US6035371A (en) * 1997-05-28 2000-03-07 3Com Corporation Method and apparatus for addressing a static random access memory device based on signals for addressing a dynamic memory access device
US6047115A (en) * 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US6421817B1 (en) 1997-05-29 2002-07-16 Xilinx, Inc. System and method of computation in a programmable logic device using virtual instructions
US6011407A (en) * 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US6240502B1 (en) * 1997-06-25 2001-05-29 Sun Microsystems, Inc. Apparatus for dynamically reconfiguring a processor
US5966534A (en) 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US6072348A (en) * 1997-07-09 2000-06-06 Xilinx, Inc. Programmable power reduction in a clock-distribution circuit
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6020760A (en) * 1997-07-16 2000-02-01 Altera Corporation I/O buffer circuit with pin multiplexing
US6282701B1 (en) 1997-07-31 2001-08-28 Mutek Solutions, Ltd. System and method for monitoring and analyzing the execution of computer programs
US6026478A (en) * 1997-08-01 2000-02-15 Micron Technology, Inc. Split embedded DRAM processor
US6170051B1 (en) * 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6038656A (en) * 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
JP3612186B2 (ja) 1997-09-19 2005-01-19 株式会社ルネサステクノロジ データ処理装置
US6539415B1 (en) 1997-09-24 2003-03-25 Sony Corporation Method and apparatus for the allocation of audio/video tasks in a network system
US5966143A (en) 1997-10-14 1999-10-12 Motorola, Inc. Data allocation into multiple memories for concurrent access
SG82587A1 (en) 1997-10-21 2001-08-21 Sony Corp Recording apparatus, recording method, playback apparatus, playback method, recording/playback apparatus, recording/playback method, presentation medium and recording medium
US6076157A (en) 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
JP4128251B2 (ja) 1997-10-23 2008-07-30 富士通株式会社 配線密度予測方法およびセル配置装置
US6247147B1 (en) 1997-10-27 2001-06-12 Altera Corporation Enhanced embedded logic analyzer
US5915123A (en) 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6122719A (en) 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US6108760A (en) 1997-10-31 2000-08-22 Silicon Spice Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US6127908A (en) 1997-11-17 2000-10-03 Massachusetts Institute Of Technology Microelectro-mechanical system actuator device and reconfigurable circuits utilizing same
JPH11147335A (ja) * 1997-11-18 1999-06-02 Fuji Xerox Co Ltd 描画処理装置
JP4197755B2 (ja) * 1997-11-19 2008-12-17 富士通株式会社 信号伝送システム、該信号伝送システムのレシーバ回路、および、該信号伝送システムが適用される半導体記憶装置
US6212650B1 (en) * 1997-11-24 2001-04-03 Xilinx, Inc. Interactive dubug tool for programmable circuits
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
DE69827589T2 (de) 1997-12-17 2005-11-03 Elixent Ltd. Konfigurierbare Verarbeitungsanordnung und Verfahren zur Benutzung dieser Anordnung, um eine Zentraleinheit aufzubauen
DE69841256D1 (de) 1997-12-17 2009-12-10 Panasonic Corp Befehlsmaskierung um Befehlsströme einem Prozessor zuzuleiten
DE69737750T2 (de) 1997-12-17 2008-03-06 Hewlett-Packard Development Co., L.P., Houston Erst- und Zweitprozessoren verwendetes Verfahren
US6567834B1 (en) 1997-12-17 2003-05-20 Elixent Limited Implementation of multipliers in programmable arrays
DE19861088A1 (de) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
US6049222A (en) * 1997-12-30 2000-04-11 Xilinx, Inc Configuring an FPGA using embedded memory
US6172520B1 (en) * 1997-12-30 2001-01-09 Xilinx, Inc. FPGA system with user-programmable configuration ports and method for reconfiguring the FPGA
US6301706B1 (en) 1997-12-31 2001-10-09 Elbrus International Limited Compiler method and apparatus for elimination of redundant speculative computations from innermost loops
US6105106A (en) 1997-12-31 2000-08-15 Micron Technology, Inc. Computer system, memory device and shift register including a balanced switching circuit with series connected transfer gates which are selectively clocked for fast switching times
US6216223B1 (en) 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6034538A (en) * 1998-01-21 2000-03-07 Lucent Technologies Inc. Virtual logic system for reconfigurable hardware
US6389579B1 (en) * 1998-01-26 2002-05-14 Chameleon Systems Reconfigurable logic for table lookup
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
DE19803593A1 (de) 1998-01-30 1999-08-12 Daimler Chrysler Ag Schaltvorrichtung für ein Zahnräderwechselgetriebe
DE69919059T2 (de) 1998-02-04 2005-01-27 Texas Instruments Inc., Dallas Datenverarbeitungssytem mit einem digitalen Signalprozessor und einem Koprozessor und Datenverarbeitungsverfahren
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
US7152027B2 (en) 1998-02-17 2006-12-19 National Instruments Corporation Reconfigurable test system
US6198304B1 (en) * 1998-02-23 2001-03-06 Xilinx, Inc. Programmable logic device
DE19807872A1 (de) 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Verfahren zur Verwaltung von Konfigurationsdaten in Datenflußprozessoren sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstruktur (FPGAs, DPGAs, o. dgl.
US6088800A (en) 1998-02-27 2000-07-11 Mosaid Technologies, Incorporated Encryption processor with shared memory interconnect
US6374286B1 (en) 1998-04-06 2002-04-16 Rockwell Collins, Inc. Real time processor capable of concurrently running multiple independent JAVA machines
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6421808B1 (en) 1998-04-24 2002-07-16 Cadance Design Systems, Inc. Hardware design language for the design of integrated circuits
US6173419B1 (en) 1998-05-14 2001-01-09 Advanced Technology Materials, Inc. Field programmable gate array (FPGA) emulator for debugging software
US5999990A (en) 1998-05-18 1999-12-07 Motorola, Inc. Communicator having reconfigurable resources
US6298396B1 (en) 1998-06-01 2001-10-02 Advanced Micro Devices, Inc. System for loading a current buffer desciptor register with a value different from current value to cause a previously read buffer descriptor to be read again
US6092174A (en) 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
JP3123977B2 (ja) * 1998-06-04 2001-01-15 日本電気株式会社 プログラマブル機能ブロック
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6202182B1 (en) * 1998-06-30 2001-03-13 Lucent Technologies Inc. Method and apparatus for testing field programmable gate arrays
EP0978944B1 (en) 1998-07-06 2002-01-02 Hewlett-Packard Company, A Delaware Corporation Wiring of cells in logic arrays
US6609088B1 (en) 1998-07-24 2003-08-19 Interuniversitaire Micro-Elektronica Centrum Method for determining an optimized memory organization of a digital device
US6137307A (en) 1998-08-04 2000-10-24 Xilinx, Inc. Structure and method for loading wide frames of data from a narrow input bus
DE19835189C2 (de) 1998-08-04 2001-02-08 Unicor Rohrsysteme Gmbh Vorrichtung zur kontinuierlichen Herstellung von nahtlosen Kunststoffrohren
US20020152060A1 (en) 1998-08-31 2002-10-17 Tseng Ping-Sheng Inter-chip communication system
JP2000076066A (ja) 1998-09-02 2000-03-14 Fujitsu Ltd 信号処理回路
US6205458B1 (en) 1998-09-21 2001-03-20 Rn2R, L.L.C. Adder and multiplier circuits employing logic gates having discrete, weighted inputs and methods of performing combinatorial operations therewith
JP3551353B2 (ja) * 1998-10-02 2004-08-04 株式会社日立製作所 データ再配置方法
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
US6658564B1 (en) 1998-11-20 2003-12-02 Altera Corporation Reconfigurable programmable logic device computer system
US6977649B1 (en) 1998-11-23 2005-12-20 3Dlabs, Inc. Ltd 3D graphics rendering with selective read suspend
JP2000181566A (ja) 1998-12-14 2000-06-30 Mitsubishi Electric Corp マルチクロック並列処理装置
US6044030A (en) 1998-12-21 2000-03-28 Philips Electronics North America Corporation FIFO unit with single pointer
US6434695B1 (en) 1998-12-23 2002-08-13 Apple Computer, Inc. Computer operating system using compressed ROM image in RAM
US6757847B1 (en) * 1998-12-29 2004-06-29 International Business Machines Corporation Synchronization for system analysis
JP3585800B2 (ja) 1999-01-13 2004-11-04 株式会社東芝 情報処理装置
US6539438B1 (en) 1999-01-15 2003-03-25 Quickflex Inc. Reconfigurable computing system and method and apparatus employing same
US6490695B1 (en) 1999-01-22 2002-12-03 Sun Microsystems, Inc. Platform independent memory image analysis architecture for debugging a computer program
DE10028397A1 (de) 2000-06-13 2001-12-20 Pact Inf Tech Gmbh Registrierverfahren
US6243808B1 (en) * 1999-03-08 2001-06-05 Chameleon Systems, Inc. Digital data bit order conversion using universal switch matrix comprising rows of bit swapping selector groups
US6512804B1 (en) 1999-04-07 2003-01-28 Applied Micro Circuits Corporation Apparatus and method for multiple serial data synchronization using channel-lock FIFO buffers optimized for jitter
US6286134B1 (en) 1999-04-23 2001-09-04 Sun Microsystems, Inc. Instruction selection in a multi-platform environment
JP2000311156A (ja) 1999-04-27 2000-11-07 Mitsubishi Electric Corp 再構成可能並列計算機
US6381624B1 (en) 1999-04-29 2002-04-30 Hewlett-Packard Company Faster multiply/accumulator
US6298472B1 (en) 1999-05-07 2001-10-02 Chameleon Systems, Inc. Behavioral silicon construct architecture and mapping
US6748440B1 (en) 1999-05-12 2004-06-08 Microsoft Corporation Flow of streaming data through multiple processing modules
US7007096B1 (en) 1999-05-12 2006-02-28 Microsoft Corporation Efficient splitting and mixing of streaming-data frames for processing through multiple processing modules
US6211697B1 (en) * 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
DE19926538A1 (de) 1999-06-10 2000-12-14 Pact Inf Tech Gmbh Hardware und Betriebsverfahren
EP1061439A1 (en) 1999-06-15 2000-12-20 Hewlett-Packard Company Memory and instructions in computer architecture containing processor and coprocessor
US6757892B1 (en) 1999-06-24 2004-06-29 Sarnoff Corporation Method for determining an optimal partitioning of data among several memories
JP3420121B2 (ja) 1999-06-30 2003-06-23 Necエレクトロニクス株式会社 不揮発性半導体記憶装置
US6347346B1 (en) * 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips
GB2352548B (en) * 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
US6745317B1 (en) 1999-07-30 2004-06-01 Broadcom Corporation Three level direct communication connections between neighboring multiple context processing elements
US6370596B1 (en) * 1999-08-03 2002-04-09 Chameleon Systems, Inc. Logic flag registers for monitoring processing system events
US6341318B1 (en) * 1999-08-10 2002-01-22 Chameleon Systems, Inc. DMA data streaming
US6204687B1 (en) 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US6438747B1 (en) 1999-08-20 2002-08-20 Hewlett-Packard Company Programmatic iteration scheduling for parallel processors
US6507947B1 (en) 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6606704B1 (en) 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US6311200B1 (en) 1999-09-23 2001-10-30 Chameleon Systems, Inc. Reconfigurable program sum of products generator
US6349346B1 (en) * 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6288566B1 (en) 1999-09-23 2001-09-11 Chameleon Systems, Inc. Configuration state memory for functional blocks on a reconfigurable chip
US6631487B1 (en) 1999-09-27 2003-10-07 Lattice Semiconductor Corp. On-line testing of field programmable gate array resources
DE19946752A1 (de) * 1999-09-29 2001-04-12 Infineon Technologies Ag Rekonfigurierbares Gate-Array
US6598128B1 (en) 1999-10-01 2003-07-22 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6412043B1 (en) 1999-10-01 2002-06-25 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6665758B1 (en) 1999-10-04 2003-12-16 Ncr Corporation Software sanity monitor
US6434642B1 (en) 1999-10-07 2002-08-13 Xilinx, Inc. FIFO memory system and method with improved determination of full and empty conditions and amount of data stored
JP2001167066A (ja) 1999-12-08 2001-06-22 Nec Corp プロセッサ間通信方法及びマルチプロセッサシステム
US6625654B1 (en) * 1999-12-28 2003-09-23 Intel Corporation Thread signaling in multi-threaded network processor
US6633181B1 (en) 1999-12-30 2003-10-14 Stretch, Inc. Multi-scale programmable array
EP1115204B1 (en) 2000-01-07 2009-04-22 Nippon Telegraph and Telephone Corporation Function reconfigurable semiconductor device and integrated circuit configuring the semiconductor device
JP2001202236A (ja) 2000-01-20 2001-07-27 Fuji Xerox Co Ltd プログラマブル論理回路装置によるデータ処理方法、プログラマブル論理回路装置、情報処理システム、プログラマブル論理回路装置への回路再構成方法
KR100682736B1 (ko) 2000-01-28 2007-02-15 엠 디솔루션 코포레이션 구성가능한 확산 스펙트럼 통신 장치를 위한 구성 발생 방법
US6496971B1 (en) 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US6519674B1 (en) * 2000-02-18 2003-02-11 Chameleon Systems, Inc. Configuration bits layout
JP2001236221A (ja) 2000-02-21 2001-08-31 Keisuke Shindo マルチスレッドを利用するパイプライン並列プロセッサ
WO2001063434A1 (en) 2000-02-24 2001-08-30 Bops, Incorporated Methods and apparatus for dual-use coprocessing/debug interface
JP3674515B2 (ja) 2000-02-25 2005-07-20 日本電気株式会社 アレイ型プロセッサ
US6434672B1 (en) 2000-02-29 2002-08-13 Hewlett-Packard Company Methods and apparatus for improving system performance with a shared cache memory
US6539477B1 (en) * 2000-03-03 2003-03-25 Chameleon Systems, Inc. System and method for control synthesis using a reachable states look-up table
KR100841411B1 (ko) * 2000-03-14 2008-06-25 소니 가부시끼 가이샤 전송장치, 수신장치, 전송방법, 수신방법과 기록매체
US6657457B1 (en) 2000-03-15 2003-12-02 Intel Corporation Data transfer on reconfigurable chip
US6871341B1 (en) * 2000-03-24 2005-03-22 Intel Corporation Adaptive scheduling of function cells in dynamic reconfigurable logic
US6362650B1 (en) 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6373779B1 (en) 2000-05-19 2002-04-16 Xilinx, Inc. Block RAM having multiple configurable write modes for use in a field programmable gate array
US7340596B1 (en) 2000-06-12 2008-03-04 Altera Corporation Embedded processor with watchdog timer for programmable logic
EP2226732A3 (de) * 2000-06-13 2016-04-06 PACT XPP Technologies AG Cachehierarchie für einen Multicore-Prozessor
US6285624B1 (en) 2000-07-08 2001-09-04 Han-Ping Chen Multilevel memory access method
DE10129237A1 (de) 2000-10-09 2002-04-18 Pact Inf Tech Gmbh Verfahren zur Bearbeitung von Daten
DE10036627A1 (de) 2000-07-24 2002-02-14 Pact Inf Tech Gmbh Integrierter Schaltkreis
JP2002041489A (ja) 2000-07-25 2002-02-08 Mitsubishi Electric Corp 同期信号生成回路、それを用いたプロセッサシステムおよび同期信号生成方法
US7164422B1 (en) * 2000-07-28 2007-01-16 Ab Initio Software Corporation Parameterized graphs with conditional components
US6538468B1 (en) * 2000-07-31 2003-03-25 Cypress Semiconductor Corporation Method and apparatus for multiple boot-up functionalities for a programmable logic device (PLD)
US6542844B1 (en) * 2000-08-02 2003-04-01 International Business Machines Corporation Method and apparatus for tracing hardware states using dynamically reconfigurable test circuits
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
US7257780B2 (en) 2000-08-07 2007-08-14 Altera Corporation Software-to-hardware compiler
US7249351B1 (en) 2000-08-30 2007-07-24 Broadcom Corporation System and method for preparing software for execution in a dynamically configurable hardware environment
US6829697B1 (en) 2000-09-06 2004-12-07 International Business Machines Corporation Multiple logical interfaces to a shared coprocessor resource
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US7346644B1 (en) 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6518787B1 (en) * 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
US6525678B1 (en) * 2000-10-06 2003-02-25 Altera Corporation Configuring a programmable logic device
US20040015899A1 (en) * 2000-10-06 2004-01-22 Frank May Method for processing data
US20020045952A1 (en) 2000-10-12 2002-04-18 Blemel Kenneth G. High performance hybrid micro-computer
US6398383B1 (en) * 2000-10-30 2002-06-04 Yu-Hwei Huang Flashlight carriable on one's person
JP3636986B2 (ja) 2000-12-06 2005-04-06 松下電器産業株式会社 半導体集積回路
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
EP1346280A1 (en) 2000-12-20 2003-09-24 Koninklijke Philips Electronics N.V. Data processing device with a configurable functional unit
US6426649B1 (en) 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6392912B1 (en) * 2001-01-10 2002-05-21 Chameleon Systems, Inc. Loading data plane on reconfigurable chip
US7020673B2 (en) 2001-01-19 2006-03-28 Sony Corporation Reconfigurable arithmetic device and arithmetic system including that arithmetic device and address generation device and interleave device applicable to arithmetic system
US6847370B2 (en) 2001-02-20 2005-01-25 3D Labs, Inc., Ltd. Planar byte memory organization with linear access
US7210129B2 (en) * 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US6836839B2 (en) 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US6792588B2 (en) 2001-04-02 2004-09-14 Intel Corporation Faster scalable floorplan which enables easier data control flow
US20020143505A1 (en) 2001-04-02 2002-10-03 Doron Drusinsky Implementing a finite state machine using concurrent finite state machines with delayed communications and no shared control signals
WO2002082267A1 (en) 2001-04-06 2002-10-17 Wind River Systems, Inc. Fpga coprocessing system
US6836842B1 (en) 2001-04-24 2004-12-28 Xilinx, Inc. Method of partial reconfiguration of a PLD in which only updated portions of configuration data are selected for reconfiguring the PLD
US6999984B2 (en) 2001-05-02 2006-02-14 Intel Corporation Modification to reconfigurable functional unit in a reconfigurable chip to perform linear feedback shift register function
US6976239B1 (en) * 2001-06-12 2005-12-13 Altera Corporation Methods and apparatus for implementing parameterizable processors and peripherals
JP3580785B2 (ja) * 2001-06-29 2004-10-27 株式会社半導体理工学研究センター ルックアップテーブル、ルックアップテーブルを備えるプログラマブル論理回路装置、および、ルックアップテーブルの構成方法
US7043416B1 (en) 2001-07-27 2006-05-09 Lsi Logic Corporation System and method for state restoration in a diagnostic module for a high-speed microprocessor
US7383421B2 (en) 2002-12-05 2008-06-03 Brightscale, Inc. Cellular engine for a data processing system
US7216204B2 (en) 2001-08-27 2007-05-08 Intel Corporation Mechanism for providing early coherency detection to enable high performance memory updates in a latency sensitive multithreaded environment
US6874108B1 (en) * 2001-08-27 2005-03-29 Agere Systems Inc. Fault tolerant operation of reconfigurable devices utilizing an adjustable system clock
US6868476B2 (en) * 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US20030056091A1 (en) * 2001-09-14 2003-03-20 Greenberg Craig B. Method of scheduling in a reconfigurable hardware architecture with multiple hardware configurations
US20030055861A1 (en) * 2001-09-18 2003-03-20 Lai Gary N. Multipler unit in reconfigurable chip
US20030052711A1 (en) * 2001-09-19 2003-03-20 Taylor Bradley L. Despreader/correlator unit for use in reconfigurable chip
US6854073B2 (en) * 2001-09-25 2005-02-08 International Business Machines Corporation Debugger program time monitor
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US7000161B1 (en) 2001-10-15 2006-02-14 Altera Corporation Reconfigurable programmable logic system with configuration recovery mode
US20060264508A1 (en) 2001-10-16 2006-11-23 Stone Richard A Modulation of ocular growth and myopia by gaba drugs
AU2002357739A1 (en) 2001-11-16 2003-06-10 Morpho Technologies Viterbi convolutional coding method and apparatus
US6886092B1 (en) 2001-11-19 2005-04-26 Xilinx, Inc. Custom code processing in PGA by providing instructions from fixed logic processor portion to programmable dedicated processor portion
US6668237B1 (en) 2002-01-17 2003-12-23 Xilinx, Inc. Run-time reconfigurable testing of programmable logic devices
DE20221985U1 (de) 2002-02-01 2010-03-04 Tridonicatco Gmbh & Co. Kg Elektronisches Vorschaltgerät für Gasentladungslampe
US6476634B1 (en) 2002-02-01 2002-11-05 Xilinx, Inc. ALU implementation in single PLD logic cell
US6961924B2 (en) 2002-05-21 2005-11-01 International Business Machines Corporation Displaying variable usage while debugging
US6976131B2 (en) 2002-08-23 2005-12-13 Intel Corporation Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system
US6803787B1 (en) 2002-09-25 2004-10-12 Lattice Semiconductor Corp. State machine in a programmable logic device
US6802206B2 (en) 2002-10-11 2004-10-12 American Axle & Manufacturing, Inc. Torsional actuation NVH test method
US7873811B1 (en) * 2003-03-10 2011-01-18 The United States Of America As Represented By The United States Department Of Energy Polymorphous computing fabric
US7472155B2 (en) 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US7840627B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7870182B2 (en) 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US8495122B2 (en) 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7567997B2 (en) 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US7038952B1 (en) 2004-05-04 2006-05-02 Xilinx, Inc. Block RAM with embedded FIFO buffer
JP4396446B2 (ja) 2004-08-20 2010-01-13 ソニー株式会社 情報処理装置および方法、並びにプログラム
US7971051B2 (en) 2007-09-27 2011-06-28 Fujitsu Limited FPGA configuration protection and control using hardware watchdog timer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100361119C (zh) * 2001-05-10 2008-01-09 东京毅力科创株式会社 计算系统
CN100392661C (zh) * 2004-07-17 2008-06-04 周建龙 一种可编程测控设备的数据处理方法
CN113986817A (zh) * 2021-12-30 2022-01-28 中科声龙科技发展(北京)有限公司 运算芯片访问片内存储区域的方法和运算芯片
CN113986817B (zh) * 2021-12-30 2022-03-18 中科声龙科技发展(北京)有限公司 运算芯片访问片内存储区域的方法和运算芯片

Also Published As

Publication number Publication date
EP0943129B1 (de) 2003-07-02
DE59710383D1 (de) 2003-08-07
EP1310881B1 (de) 2010-03-17
EA004240B1 (ru) 2004-02-26
WO1998026356A1 (de) 1998-06-18
JP3963957B2 (ja) 2007-08-22
US20030056085A1 (en) 2003-03-20
US6425068B1 (en) 2002-07-23
US20040168099A1 (en) 2004-08-26
ATE244421T1 (de) 2003-07-15
US7565525B2 (en) 2009-07-21
US20080010437A1 (en) 2008-01-10
EP2166459A1 (de) 2010-03-24
JP2001505382A (ja) 2001-04-17
CA2274532A1 (en) 1998-06-18
US20110010523A1 (en) 2011-01-13
US8156312B2 (en) 2012-04-10
EP0943129A1 (de) 1999-09-22
AU5651498A (en) 1998-07-03
EA199900441A1 (ru) 2001-04-23
DE19781412D2 (de) 1999-10-28
US20090146690A1 (en) 2009-06-11
EP1310881A3 (de) 2005-03-09
US7237087B2 (en) 2007-06-26
DE59713029D1 (de) 2010-04-29
US7822968B2 (en) 2010-10-26
US6728871B1 (en) 2004-04-27
DE19651075A1 (de) 1998-06-10
JP2007174701A (ja) 2007-07-05
EP1310881A2 (de) 2003-05-14

Similar Documents

Publication Publication Date Title
CN1247613A (zh) 对数字运算和逻辑运算进行处理以及在处理机(cpus)、多计算机系统中使用的装置
CN1129843C (zh) 使用组合的数据处理器系统和指令系统
CN1252144A (zh) 一可编程组件中可构元件的自同步方法
EP1121636B1 (en) Multiplier-accumulator configuration for efficient scheduling in a digital signal processor
US20220188265A1 (en) Loop Thread Order Execution Control of a Multi-Threaded, Self-Scheduling Reconfigurable Computing Fabric
US20210064435A1 (en) Memory Interface for a Multi-Threaded, Self-Scheduling Reconfigurable Computing Fabric
US20210064374A1 (en) Execution or write mask generation for data selection in a multi-threaded, self-scheduling reconfigurable computing fabric
US11061672B2 (en) Chained split execution of fused compound arithmetic operations
US6275838B1 (en) Method and apparatus for an enhanced floating point unit with graphics and integer capabilities
CN1088214C (zh) 用多指令集处理数据的器件和方法
CN1121014C (zh) 具有risc结构的八位微控制器
CN1174313C (zh) 多指令集的数据处理
EP3719654A1 (en) Apparatuses, methods, and systems for operations in a configurable spatial accelerator
EP3776243A1 (en) Backpressure control using a stop signal for a multi-threaded, self-scheduling reconfigurable computing fabric
EP2237165A3 (en) Multiprocessor with specific architecture of communication elements
CN1722130A (zh) 可重配置运算装置
EP3757809A1 (en) Apparatuses, methods, and systems for memory interface circuit arbitration in a configurable spatial accelerator
CN1115631C (zh) 具有risc结构的八位微控制器
CN1245922A (zh) 有时间片任务切换能力的前后关系控制器及其应用处理器
EP3757814A1 (en) Apparatuses, methods, and systems for time-multiplexing in a configurable spatial accelerator
US6460134B1 (en) Method and apparatus for a late pipeline enhanced floating point unit
Bougard et al. A coarse-grained array based baseband processor for 100mbps+ software defined radio
CN1234066C (zh) 基于操作队列复用的指令流水线系统和方法
Scott et al. Designing the M/spl middot/CORE/sup TM/M3 CPU architecture
Li et al. VLSI implementation of a High-performance 32-bit RISC Microprocessor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication