CN1191622C - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN1191622C
CN1191622C CNB011331631A CN01133163A CN1191622C CN 1191622 C CN1191622 C CN 1191622C CN B011331631 A CNB011331631 A CN B011331631A CN 01133163 A CN01133163 A CN 01133163A CN 1191622 C CN1191622 C CN 1191622C
Authority
CN
China
Prior art keywords
film
mentioned
semiconductor substrate
metal
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB011331631A
Other languages
English (en)
Other versions
CN1349253A (zh
Inventor
田中正幸
中嶋一明
綱岛祥隆
伊藤贵之
须黑恭一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of CN1349253A publication Critical patent/CN1349253A/zh
Application granted granted Critical
Publication of CN1191622C publication Critical patent/CN1191622C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

提供一种半导体装置的制造方法,通过向半导体衬底短时间照射大量的光子,改进与半导体衬底和布线相连的接触层、硅化物层和氮化硅膜等的在半导体衬底上形成的膜。在形成微细接触层时,把在接触孔内部形成金属氮化膜的工序、在600℃以下的温区内实施第一加热处理的工序、和在实施第一加热处理时的以10毫秒以下的短时间且具有以比硅的光吸收端还短的波长侧为主的发光波长的第二加热处理相组合,使TiN膜与基板界面起反应,还原自然氧化膜。短时间的热处理不会影响扩散层的杂质分布。

Description

半导体装置的制造方法
技术领域
本发明涉及对与硅半导体衬底和布线连接的触点和硅化物层、氮化硅膜等的在半导体衬底上形成的膜进行改进的半导体装置的制造方法,以及用该方法得到的半导体装置的结构。
背景技术
近年来,在计算机和通信装置中已大量应用把多个晶体管等的半导体元件、电阻、电容等以构成电路的方式集成在一个芯片上得到的大规模集成电路(LSI)。因此,整个装置的性能是把LSI单体即半导体装置的性能大量结合起来。通过提高集成度和元件的微细化实现半导体装置的性能提高。
元件的微细化很大地依赖于半导体装置的制造工艺中热处理工序及其影响的减少。现在的情况是由于热处理向在半导体衬底上形成的半导体元件施加预定之外的影响而使半导体元件的特性受损。半导体元件形成时的热处理,如为了提高在绝缘膜上形成的触点和在半导体衬底上形成的硅化物层的接合性而进行的热处理、为了形成栅极和源/漏区的表面上的硅化物层的热处理、构成EEPROM用栅极的金属硅化物层的热处理等,对元件特性有很大的影响。
现在,为了在向在层间绝缘膜上形成的接触孔中填埋的接触布线的底面上,得到与硅等的半导体衬底的良好接触,用溅射法在接触孔表面形成Ti,通过之后的热处理形成硅化物层。
在使用0.1μm级的长宽比大的微细触点的半导体装置中,用现有的溅射法难以把钨膜埋到接触孔底,且用热CVD法形成Ti膜的技术尚不能实现。但是,可以用热CVD法形成TiN膜,可以实现对微细接触孔内部覆盖良好的填埋。
但是,由于在现有的热处理工序中TiN膜不能还原基板表面的自然氧化膜,现在的情况是不能用TiN单层形成触点。
另外,由于这一代的源/漏区等的扩散层的接合深度非常浅,在触点部分上形成硅化物层的方法中,触点部分的硅化物层和接合深度的距离很近,导致接合泄漏增加。而且,在扩散层上贴附钴硅化物之类的低电阻的金属硅化物层,可以很好地还原触点部分和扩散层底上的硅化物间的自然氧化膜,且没有必要在接触布线的底部形成硅化物。
但是,为了借助于自然氧化膜使TiN膜和硅半导体衬底反应,必须进行900℃以上的高温加热处理,对扩散层的杂质分布施加影响。
近年来,由于MOS型晶体管的寄生电阻下降,向扩散层上形成金属硅化物。通常,金属硅化物层的形成方法包括:通过第一加热处理使金属膜和硅半导体衬底反应形成金属一硅化物层的工序,除去未反应金属的工序以及通过第二加热处理使金属一硅化物变成金属二硅化物的工序。
但是,在该第二加热处理中,已公知微量的Co原子扩散到硅半导体衬底侧。由于Co原子在Si中形成深的位错,Co原子扩散到CoSi2层下的扩散层的接合处附近,使接合泄漏劣化。因此,CoSi2层和位于其下层的扩散层的接合深度的距离必须为100~150nm左右。
但是,由于进行晶体管的微细化,扩散层的深度也变浅,难以确保硅化物层和接合深度的距离。
另外,在LSI等的半导体装置的制造工艺中,为了实现高集成化和高密度微细化,必须在迁移层上形成作为蚀刻停止层、阻挡层或绝缘膜的非常有用的氮化硅(SiN)膜。由四氯甲硅烷和氨的化学反应形成的SiN膜不含氢,但由二氯甲硅烷或六氯甲硅烷与氨的化学反应形成的SiN膜含氢。
这种含氢的SiN膜在高温的后热工序中脱氢。若在添加硼的PMOS上形成SiN膜,由于脱氢栅极中的硼加速扩散。即,借助于SiN膜形成后的高温后热工序(例如,用快速升降温退火(RTA)装置等在900℃以上进行的激活退火等),栅极中的硼穿过栅绝缘膜扩散到基板侧。扩散到半导体衬底中的硼,大大改变了半导体衬底中的杂质分布,改变了晶体管的阈值电压。而且,由于在面内“穿过”带来偏差、晶体管的阈值电压在面内也有偏差。另外,在栅极侧引起耗尽(delete)化。即,若在添加了硼的PMOS元件上形成SiN膜,并经过高温的后热工序,导致硼的穿越,使晶体管的阈值电压显著劣化。即,存在以下问题:①基板的杂质分布变化,晶体管的阈值电压变化;②阈值在面内有偏差;③电极耗尽化。
今后,在更加高集成化和高密度微细化的下一代的半导体元件中,硼的穿越会向更严重的方向发展。即,在规模化的同时栅绝缘膜向薄膜化发展。随栅绝缘膜的薄膜化穿越更容易发生,若为了减小电极电阻而增加硼的添加量,穿越的量也增加。另外,为了晶体管的高性能化,必须形成浅的扩散层,从而穿越的硼影响就更大了。即,若在下一代的半导体元件中使用现有的SiN膜,可以想象到元件的劣化会更严重。为了解决上述问题,必须采用氢含量少的SiN膜,或在形成SiN膜后在低温下减少引起器件劣化的氢的技术。
另外,在快速存储器中,形成由多晶硅/钨硅化物(WSi)构成的层叠膜作为控制用栅极。由于WSi刚形成后的电阻高,必须用高温的后热处理降低电阻。但是,由于元件经过1000℃以上的高温后栅氮氧化膜的质量劣化,导致元件劣化,所以必须使WSi低电阻化。
发明内容
本发明正是鉴于上述情况而提出的。其目的在于提供通过向半导体衬底短时间照射大量的光子,改进与半导体衬底和布线相连的触点、硅化物层和氮化硅膜等的在半导体衬底上形成的膜的半导体装置的制造方法、以及用该方法得到的半导体装置。
本发明的特征在于,在形成微细触点时,把在接触孔内部形成金属氮化膜的工序、在600℃以下的温区内实施第一加热处理的工序、和在实施第一加热处理时的以10毫秒以下的短时间且具有以比硅的光吸收端还短的波长侧为主的发光波长的第二加热处理相组合,使TiN膜与基板界面起反应,还原自然氧化膜。由于在非常短的时间内热处理,不会影响扩散层的杂质分布。
另外,本发明的特征在于,通过把在扩散层和栅极上形成金属硅化物的工序、在扩散层上用第一加热处理形成金属单硅化物层的工序、在600℃以下的温区内实施第二加热处理的工序、以及在实施第二加热处理时以10毫秒以下的短时间用具有以比硅的光吸收端还短的波长侧为主的发光波长的光进行的第三加热处理相结合,使得Co等的金属原子不会从金属一硅化物层向半导体衬底方向扩散,从金属一硅化物层(CoSi)向热稳定的金属二硅化物层(CoSi2)变化。
用来对上述半导体衬底进行短时间的第一或第二加热处理的光,可以采用具有使上述金属氮化膜中含的金属的反射率为0.5以下的波长为主的发光波长的光。
另外,本发明的特征在于,在对在由多晶硅构成的栅极上形成的氮化硅膜加热处理的工序中,具有在300~650℃下对半导体衬底实施的第一加热处理的工序,和在上述第一加热处理工序中,用波长200nm以上的白光以10~100J/cm2的能量在10毫秒以内,优选地3毫秒以内照射至少一次的第二加热处理的工序。通过这种处理可除去所含的氢,防止硼的穿越等造成的元件劣化。
另外,本发明的特征在于,在对作为栅极的多晶硅膜上形成的钨硅化物层加热处理的工序中,具有在300~650℃下对半导体衬底实施的第一加热处理的工序,和在上述第一加热处理工序中,用波长200nm以上的白光以10~100J/cm2的能量在10毫秒以内,优选地3毫秒以内照射至少一次的第二加热处理的工序。以栅极下的栅绝缘膜的性能不会劣化的方式加热处理。
即,本发明的一种半导体装置的制造方法,其特征在于包括:在表面上已形成有金属硅化物层的由硅构成的半导体衬底上形成绝缘膜的工序,该半导体衬底用来在其上形成半导体元件;蚀刻上述绝缘膜,直到露出在上述半导体衬底表面上形成的上述金属硅化物层,从而在上述绝缘膜中形成接触孔的工序;在上述接触孔的底面和侧面上形成金属氮化膜的工序;对上述半导体衬底实施600℃以下温度的第一加热处理的工序;在上述第一加热处理工序中,以10毫秒以下的短时间并用具有以800nm以下的波长为主的发光波长的光进行第二加热处理的工序;在进行上述第二加热处理的工序后,在上述接触孔内形成触点的工序;以及在上述绝缘膜上形成借助于上述触点与上述半导体衬底电气连接的布线的工序。
优选地,上述金属氮化膜中含的金属的反射率为0.50以下。
优选地,上述金属氮化膜是从钛、钽、铌、钒、铪、锆中选出的至少一种金属的氮化膜。优选地,上述金属氮化膜含有金属卤化物。
本发明的又一种半导体装置的制造方法,其特征在于包括:在由硅构成的半导体衬底上形成金属膜,该金属膜形成为覆盖在上述半导体衬底表面区域上形成的源/漏区和在该源/漏区之间上夹着栅绝缘膜形成的多晶硅栅极的工序;对上述半导体衬底实施第一加热处理,使上述源/漏区上和上述栅极上的金属膜变成金属一硅化物层的工序;除去上述金属膜中的未反应部分的工序;在600℃以下的温度下对上述半导体衬底实施第二加热处理的工序;以及在实施第一加热处理的工序中,以10毫秒以下的短时间并用具有以800nm以下的波长为主的发光波长的光进行第三加热处理,使上述金属一硅化物层变成金属二硅化物层的工序。
优选地,上述金属膜是从钴、钛、镍、铪、锆、钯、铂中选出的至少一种材料。
本发明的又一种半导体装置的制造方法,其特征在于包括:在半导体衬底上形成栅绝缘膜的工序;在上述栅绝缘膜上形成多晶硅膜的工序;向上述多晶硅膜掺入杂质的工序;在上述多晶硅膜上形成氮化硅膜的工序;把上述半导体衬底加热到300~650℃的工序;在上述加热过程中,以10~100J/cm2的能量和10毫秒以内的时间照射波长为200nm~800nm的白色光至少一次的工序;以及对上述多晶硅膜和上述氮化硅膜构图,形成由覆盖上述氮化硅膜的上述多晶硅膜构成的栅极的工序。
优选地,上述氮化硅膜是借助于二氯甲硅烷或六氯甲硅烷与氨的反应通过CVD法形成的。
本发明的又一种半导体装置的制造方法,其特征在于包括:在半导体衬底上形成栅绝缘膜的工序;在上述栅绝缘膜上形成第一多晶硅膜的工序;在上述第一多晶硅膜上形成电极间绝缘膜的工序;在上述电极间绝缘膜上形成第二多晶硅膜的工序;在上述第二多晶硅膜上形成金属硅化物膜的工序;把上述半导体衬底加热到300~650℃的工序;在上述加热过程中,以10~100J/cm2的能量和10毫秒以内的时间照射波长为200nm~800nm的白色光至少一次的工序;以及对上述金属硅化物膜、上述第二多晶硅膜、上述电极间绝缘膜和上述第一多晶硅膜构图,形成包括由上述第一多晶硅膜构成的浮动栅极、由上述电极间绝缘膜和上述第二多晶硅膜和上述金属硅化物膜构成的控制栅极的栅极结构的工序。
优选地,上述金属硅化物层是通过在600℃以下的温度下对上述半导体衬底上的金属一硅化物层加热处理,在该加热处理中以10毫秒以下的短时间并用具有以比硅的光吸收端还短的波长侧为主的发光波长的光进行照射而形成的。
附图说明
图1是本发明的半导体装置的制造工序剖面图;
图2是本发明的半导体装置的制造工序剖面图;
图3是展示半导体装置中使用的触点的电气特性(接触电阻)的评价结果的特性图;
图4是展示半导体装置中使用的触点的电气特性(接合泄漏电流)的评价结果的特性图;
图5是展示本发明的和现有的金属膜相对于照射波长的反射系数和各种光源的发光光谱的特性图;
图6是用S2MS法对本发明的由硅半导体衬底、热氧化膜(SiO2)、TiN膜构成的层叠结构进行深度方向的元素分析得到的分布图;
图7是展示本发明和现有的半导体装置中使用的触点的电气特性(接触电阻)的评价结果的特性图;
图8是展示本发明和现有的半导体装置中使用的触点的电气特性(接合泄漏电流)的评价结果的特性图;
图9是本发明的半导体装置的制造工序剖面图;
图10是本发明的半导体装置的制造工序剖面图;
图11是本发明的半导体装置的制造工序剖面图;
图12是本发明的半导体装置的制造工序剖面图;
图13是本发明的半导体装置的制造工序剖面图;
图14是展示本发明和现有的半导体装置中使用的触点的电气特性(接合泄漏电流)的评价结果的特性图;
图15是形成本发明的半导体装置的半导体衬底的剖面图;
图16是展示现有的SiN膜和用本发明的方法光照射后的SiN膜的FT-IR谱线的特性图;
图17是展示现有的SiN膜和用本发明的方法光照射后的SiN膜的氢浓度的特性图;
图18是展示形成现有的SiN膜的PMOS电容的C-V曲线的特性图;
图19是展示SiN膜中的SiH基浓度和PMOS电容器的Vfb的关系的特性图;
图20是展示形成用本发明的方法光照射后的SiN膜的PMOS电容器的C-V曲线的特性图;
图21是形成本发明的半导体装置的半导体衬底的剖面图;
图22是说明本发明的半导体装置的制造方法的工序剖面图;
图23是说明本发明的半导体装置的制造方法的工序剖面图;
图24展示现有的WSi和用本发明的方法光照射后的WSi的薄膜电阻的特性图。
具体实施方式
下面,参照附图说明本发明的实施方案。
本发明特征在于,通过向半导体衬底短时间照射大量的光子,改进与半导体衬底和布线相连的触点、硅化物层和氮化硅膜等的在半导体衬底上形成的膜。即,本发明具有对半导体衬底实施第一加热处理的工序和在实施第一加热处理时对半导体衬底短时间内照射大量光子的第二热处理工序。由于在非常短的时间内热处理,不会影响半导体衬底和半导体衬底上的膜。
首先,参照图25说明本发明中使用的热处理装置的一例。图25是热处理装置的示意剖面图。该热处理装置具有铝构成的试样室1,在该试样室1内部具有载量试样的试样台、导入气体的气体导入口3、排气的排气口4、用来导入光的上部的石英窗5、用于对硅晶片等的试样预加热的捧状光源(第一光源)6、氙灯等的闪光灯(第二光源)7。棒状光源6是16个3kW的卤钨灯,设在试样8的下面,从下面加热试样8。另外,闪光灯7是同样的棒状光源,15个,设有试样8的上方,从上面加热试样8。两种光源的任一个分别与专用光源9、10相连。构成为用微机控制点灯的时钟、点灯时间和闪光灯的点灯次数。
上述的两种光源不必非是基本上为棒状光源,即使是光源领域中的称为“单端型”的一个方向上设有两个外部端子的类型的光源也能得到同样的效果。
下面,参照图1-8,与现有技术比较着说明实施例1。
图1和2是本发明的半导体装置的制造工序剖面图;图3是展示触点的电气特性(接触电阻)的评价结果的特性图;图4是展示触点的电气特性(接合泄漏电流)的评价结果的特性图;图5是展示本发明的和现有的金属膜相对于照射波长的反射系数和各种光源的发光光谱的特性图;图6是用S2MS法对本发明的由硅半导体衬底、热氧化膜(SiO2)、TiN膜构成的层叠结构进行深度方向的元素分析得到的分布图;图7是展示本发明和现有的半导体装置中使用的触点的电气特性(接触电阻)的评价结果的特性图;图8是展示本发明和现有的半导体装置中使用的触点的电气特性(接合泄漏电流)的评价结果的特性图。
首先,在具有STI(浅沟分离)等的元件分离区101的单晶硅等半导体衬底100上,通过例如离子注入P+,并进行850℃×30秒的加热处理,在用元件分离区101分成的元件区上形成扩散层102。然后,在扩散层102上以及在与该扩散层隔离的区域上形成的作为栅极的多晶硅膜(图中未示出)上形成例如钴(Co)硅化物层104。并堆积作为层间绝缘膜的厚700nm左右的氧化硅膜103。用RIE等对该氧化硅膜进行各向异性蚀刻,形成预定图案的接触孔(图1(a))。
然后,在接触孔侧壁上形成Ti/TiN构成的阻挡层。首先,用溅射法形成厚50nm左右的Ti膜105,在其上用反应性溅射法形成厚10nm左右的TiN膜106。然后,进行例如在H2/N2气氛中550℃×60分钟左右的加热处理,在接触孔底部形成硅化物层107(图1(b))。
然后,在载置有例如晶片的反应室内,在WF6、SiH4和氢的源气体气氛中形成W膜108。此时,W膜填埋接触孔内部和阻挡层上。之后用化学机械研磨(CMP)法研磨W膜108、TiN膜106和Ti膜105,直到使氧化硅膜103的表面露出,形成触点(图1(c))。
图3是展示这样形成的直径0.2μm的触点的电气特性的评价结果的特性图。纵轴表示概率(%),横轴是接触电阻(Rc)。图中,由Ti/TiN膜构成的试样的接触电阻(Rc)(欧姆)用“▲”表示。通过在接触孔的底面上先形成Ti膜105,由于在还原接触孔底的自然氧化膜的同时,可形成硅化物层107,所以得到低的接触电阻。
但是,如图4所示,接合泄漏电流高。考虑这是由于相对于扩散层接合深度,触点底硅化物层厚度增加,在接合处附近有微量Ti扩散,导致了接合泄漏的上升。图1(b)、1(c)的工序是为了说明Ti膜的效果而追加的现有技术。
在此,取代图1(b)~1(c)的工序,如图2(a)所示,用反应性溅射法只形成厚10nm左右的TiN膜109。然后,进行例如H2/N2气氛中的550℃×60分钟左右的加热处理。
然后,在载置有晶片的反应室内,供给例如WF6、SiH4和氢的源气体,在晶片上的氧化硅膜103上形成W膜108。此时,W膜填埋接触孔内部。之后用化学机械研磨(CMP)法研磨W膜108和TiN膜106,直到使氧化硅膜103的表面露出,在接触孔内部形成触点(图1(c))。
如图4所示,只溅射TiN膜形成阻挡层的触点(■)的接合泄露电流,由于在接触孔底只形成TiN膜而在该部分不形成硅化物层,可把结果降低。图4的横轴是接合泄漏电流(A)、纵轴是累积概率(%)。图中,Ti/TiN膜构成的试样的接合泄漏电流(A)用“▲”表示。
另一方面,如图3所示,在只形成溅射TiN膜时(■),由于不能用TiN还原硅化物层和TiN膜界面的自然氧化膜110,接触电阻是非常高的值。
如上所述,很难兼顾接合泄漏电流和接触电阻这两者。
但是,要说接触电阻,在扩散层上形成钴硅化物层,得到扩散层的薄膜电阻值十分低的情况下,触点开口后没有必要再形成硅化物。因此,可以使接触部分与扩散层底上的硅化物之间的自然氧化膜还原,在埋入接触和内部的金属和硅化物之间得到低的电阻。
接着,在自然氧化膜110上形成TiN膜109后,进行高温短时间加热处理,调查TiN膜是否与自然氧化膜发生了反应。结果,可以判定通过1050℃×30秒以上的高温热处理TiN膜和自然氧化膜发生了反应。
但是,如果进行这样的高温热处理,会对接触孔底的扩散层的杂质分布产生影响。由于热扩散取决于扩散系数和时间的乘积的次方,若减短热处理时间,不会对杂质分布造成影响,可以用TiN膜还原接触孔底的自然氧化物。
可以进行基本上可忽视杂质扩散的短时间如1050℃×100毫秒的热处理,但是不能还原自然氧化膜,没有接触电阻减小的效果。
但是,由于金属膜是对光反射好的材料,用通常的光源加热的加热方法有可能不能把金属加热到非常热。
图5展示了金属膜对照射光波长的反射系数和各种光源的发光光谱。横轴是波长(μm),纵轴是发光强度(a.u.)。如图5所示,通常的RTA装置等采用的光源例如卤灯或钨灯的波长具有以大于800nm的区域为主的分布。金属对此的反射系数在长波长区高,例如,Ti等在小于800nm的短波长侧低。
因此,在采用卤灯等的光源的光源加热处理中,光源照射的能量的基本上都被金属膜表面反射,所以难以对金属膜充分地加热。尤其是,若加热处理时间太短,难以把金属膜加热到所期望的温度,升温时必须用比其更高的热功率。
另外,如图5所示,由氙(Xe)灯构成的闪光灯的波长具有以800nm以下的短波长侧为主的分布,可以高效率地加热金属膜。因此,如果光源加热处理的光源的波长比800nm还短,金属膜表面难以反射,即使在短时间内也可以期待对金属膜充分地加热。
在基板上形成厚2.5nm的热氧化膜后,例如用反应性溅射法形成厚为10nm左右的TiN膜,例如把基板加热到300℃~500℃,在Ar气氛中以照射量10J/cm2、照射时间1.3毫秒的条件用氙灯加热。
如图6所示,用SIMS法进行深度方向的元素分析的结果可看出,基板加热温度为300~500℃时,Si原子越过氧化膜向TiN膜中扩散,图6的横轴是TiN/SiO2/半导体衬底(Si基板)的叠层结构的深度,纵轴是Si和TiN的分布强度。
下面,为了确认以上说明的本发明的效果,取代图1(b)~2(a)所示的工序,如图2(b)所示,用反应性溅射形成厚为10nm左右的TiN膜109。然后,例如把半导体衬底加热到500℃,一边维持该状态,一边在Ar气氛中以照射量10J/cm2、照射时间1毫秒的条件用氙灯加热、接着,然后,在载量有半导体衬底的反应室内,供给例如WF6、SiH4和氢的源气体在半导体衬底上的氧化硅膜103上形成W膜108。此时,W膜填埋接触孔内部。之后用化学机械研磨(CMP)法研磨W膜108和TiN膜106,直到使氧化硅膜103的表面露出,在接触孔内部形成触点。
结果,如图7和8所示,只用TiN膜形成阻挡层、如上所述地用氙灯短时间退火的根据本发明的上述试样(■)降低了接触电阻(Rc)(欧姆),且接合泄漏电流(A)也降低了。因此,可能形成了因上述加热处理TiN膜和硅化物层间的自然氧化膜被还原,且扩散层的杂质分布不变化的触点,图7是展示触点的电气特性的评价结果的特性图。纵轴是概率,横轴是接触电阻Rc。图中,由Ti/TiN膜构成的试样的接触电阻(Rc)用“▲”表示。另外,图8的横轴表示接合泄露电流(A)、纵轴是累积概率。图中,由Ti/TiN膜构成的试样的接合泄漏电流(A)用“▲”表示。如果是阻挡层为含卤素的金属氮化膜,该结果也很好。
下面,说明对由含卤素的氮化膜构成的阻挡层的处理。
例如,以采用TiCl4和NH3为源气体的CVD法形成厚10nm左右的TiN膜,然后例如,在把基板过热到200℃的状态下在Ar气氛中以照射量10J/cm2、照射时间1.3毫秒用氙灯加热。
然后,在H2/N2气氛中进行例如550℃×60分钟左右的加热处理,并且,用例如WF6、SiH4和氢的源气体形成W膜108。之后用化学机械研磨(CMP)法研磨W膜108和TiN膜,直到使氧化硅膜的表面露出,形成触点。
结果,即使降低基板温度,由于上述CVD-TiN膜,也能降低接触电阻,且能降低接合泄漏电流,得到与PVD-TiN膜同样水平的特性。采用TiCl4和CH3作源气体的CVD-TiN膜含有大量的Cl。TiN膜中的Cl的脱离需要600℃以上的热工序,但在有本发明采用的光源的加热处理中,对金属膜也能有效地加热,用低温的加热处理也能使Cl原子从膜中容易地脱离出来。此时,与Cl结合的Ti原子因热扩散向硅基板方向移动。该扩散的Ti仅有很少的量,但对于还原TiN膜/硅基板界面的自然氧化膜也足够了,所以即使在形成TiN之前不形成Ti膜,得到低的接触电阻。
在本实施例中,虽然是在Ar等的稀有气体气氛中进行,但若在还原气氛中还可期待更低温的反应。通过在含例如NH3、H2、B2H4的气氛中加热可得到与上述实施例同样的效果。
在本实施例中,虽然金属氮化膜采用TiN膜,但若采用钽(Ta)、铌(Nb)、钒(V)、铪(Hf)、锆(Zr)的金属氮化膜或它们的合金构成的氮化膜等,可得到与本实施例同样的效果。
通过本实施例,可以使TiN膜与基板界面起反应,还原自然氧化膜之类的氧化膜。
下面,参照图9和10说明实施例2。
图9和10是半导体装置的制造工序剖面图。在此一边与现有技术比较,一边说明MOS晶体管的形成工序中适用的实施例。
首先,在具有STI等的元件分离区201的由硅单晶构成的半导体衬底200上形成氧化硅膜202,在其上层积多晶硅膜203。然后,以预定的图案对多晶硅膜203进行RIE等的各向异性蚀刻,形成栅极。之后,例如,通过注入As+离子,实施950℃×30秒的加热处理形成扩散层204(图9(a))。然后,在半导体衬底200上堆积氮化硅膜205、氧化硅膜206后,对氧化硅膜206、氮化硅膜205进行背蚀刻,形成栅极即多晶硅膜203的侧壁。之后,通过离子注入例如P+离子,实施850℃×30秒的加热处理,形成作为源/漏区的扩散层207,在扩散层207和多晶硅膜203上形成钴(Co)硅化物层208(图9(b))。
之后,在半导体衬底200的整个表面上堆积氧化硅膜等的层间绝缘膜209,用例如化学机械研磨(CMP)法使层间绝缘膜209平坦化。然后,以所期望的图案对层间绝缘膜209开口,形成接触孔(图10(a))。
然后,用例如反应性溅射法形成ZrN膜210,之后例如,在NH3气氛中加热到500℃的状态下,在Ar气氛中以照射量10J/cm2、照射时间1毫秒的条件下用氙灯加热半导体衬底200。
然后,以例如WF6、SiH4和氢为源气体形成W膜211。之后用化学机械研磨(CMP)法研磨W膜211、和ZrN膜210,直到使氧化膜209的表面露出,形成低电阻且低泄漏电流的触点(图10(b))。
如上所述,通过实施例的工序,可以形成具有低电阻、且低接合泄漏电流的晶体管。
下面,参照图11~14说明实施例3。
图11~13是半导体装置的制造工序剖面图。图14是展示触点的电气特性(接合泄漏电流)的评价结果的特性图。在此一边与现有技术比较,一边说明MOS晶体管的形成工序中适用的实施例。
首先,在具有STI等的元件分离区301的由硅单晶构成的半导体衬底300上形成用于栅绝缘膜的氧化硅膜302,在其上层积多晶硅膜303。然后,通过以预定的图案对多晶硅膜303进行RIE等的各向异性蚀刻而要色图,形成栅极。之后,例如,通过注入As+离子,实施950℃×30秒的加热处理形成扩散层304(图11(a))。
然后,在半导体衬底300上堆积氮化硅膜305、氧化硅膜306后,对氧化硅膜306、氮化硅膜305进行背蚀刻,形成栅极即多晶硅膜303的侧壁。之后,通过向半导体衬底300离子注入例如P+离子,实施850℃×30秒的加热处理,形成作为源/漏区的扩散层307。
在扩散层307和多晶硅膜303上形成钴(Co)硅化物层308(图12(a))。接着,进行例如450℃×30秒的条件下的第一加热处理,形成钴一硅化物(CoSi)层309。然后,用硫酸/过氧化氢水混合液除去附着在栅侧壁等上的未反应的Co膜(图12(b))。
然后,通过例如在815℃×30秒的条件下对半导体衬底300进行第二加热处理,形成钴二硅化物(CoSi2)层310(图12(c))。
但是,已公知在该第二加热处理中有微量的Co原子311扩散到硅半导体衬底300侧。由于Co原子在Si中形成深的位错,如果该Co原子扩散到CoSi2层310下的扩散层307的接合处附近,接合泄漏就会劣化。因此,CoSi2层和位于其下层的扩散层的接合深度的距离应在100~150nm左右(图13(a))。
结果,随着晶体管的微细化,扩散层深度也变浅,从而难以确保硅化物层与接合深度的距离。但是,上述问题的关键在于,在形成热稳定的CoSi2层之间,因热扩散Co原子渗入基板,估计若在可能的有限的Co原子未向基板方向热扩散的期间使CoSi层迅速转化成CoSi2层,可以避免这样的问题。
于是,例如,在把半导体衬底300加热到500℃状态下在Ar气氛中以照射量10J/cm2、照射时间10毫秒的条件下用氙灯进行第三加热处理,形成CoSi2层。如上所述,氙灯的波长具有以小于800nm的短波长侧为主的分布,可以对金属膜高效率地加热。由此,Co原子不会从硅化物层向基板方向扩散,可以从CoSi层变化成热稳定的CoSi2层。结果,可以使CoSi2层310和位于其下层的扩散层307的接合深度的距离在100nm以下(图13(b))。如图4所示,扩散层的接合部分也变得稳定,用氙灯(闪光灯)热处理后的接合泄漏电流(A)(曲线A)与未进行氙灯处理的现有情况(曲线B)相比,接合泄漏电流显著降低。图14的横轴表示接合泄漏电流(A),纵轴表示累积概率(%)。
下面,参照图15~21说明实施例4。
本实施例说明DRAM元件。图15和21是展示DRAM元件的PMOS区的剖面图。图15是在通常的半导体装置的制造工艺中,首先在半导体衬底401上形成STI等的元件分离区402。在该半导体衬底401的表面上以5nm左右的厚度形成栅氮氧化膜403。之后,在栅氮氧化膜403上在反应温度550℃下用化学汽相淀积法(CVD)形成厚70nm左右的非晶态硅膜404。然后,通过通常的构图只露出PMOS区,以5kev、1.0e15的注入条件添加硼。剥离构图中使用的光刻胶后,在氮气氛中进行800×30分钟的退火,使非晶态硅膜4转变成多晶硅。
然后,形成作为用于对自整合的触点开口用的硬掩模的厚200nm左右的SiN膜405。此时,SiN膜可以用任何方法形成。在本实施例中,通过用六氯甲硅烷(HCD)作硅源,使其与氨反应的化学汽相生长法形成SiN膜。成膜温度为600℃,流量比为HCD/氨=1000(Sccm)/50(Sccm),成膜压力为0.3乇。刚形成SiN膜后的膜中的氢量为NH基3.1e21cm-3,SiN基3.1e21cm-3
在3毫秒以内的短时间内产生能量为20J/cm2以上、波长为200nm以上的白色光,照射到形成SiN膜405的基板表面上。此时,优选地,把半导体衬底加热到300℃以上,在不活泼气体中进行照射。通过在短时间内向膜照射大量的光子,切断SiN膜中的SiH或NH基的键合,使游离的氢原子相互结合成为氢分子,逸出到膜外。图16表示刚形成膜后和光照射后的SiN膜中的FT-IR光谱。图16对SiH基信号部分进行了放大,横轴是波数(cm-1),纵轴是吸收系数。可以看出,用上述照射条件使SiN膜中的SiH基减少到检测极限以下。图17示出根据IR测定的结果得到的膜中的氢浓度。纵轴是氢浓度(cm-3),横轴是刚形成膜的状态和短时间照射后的状态。在上述条件下,膜中的NH键还有少量残余,SiH基减少到检测极限以下。
下面说明由因上述光照射减少了膜中氢的SiN膜形成的PMOS元件的电气特性。PMOS元件中形成SiN膜时,经过高温的后热工序后引起从SiN膜中吐出氢,即称为“硼的穿越”的元件劣化。由于PMOS元件的劣化,引起电极耗尽化、Vth变化、Vth在面内有偏差这三种现象。其中由于从SiN膜中吐出氢而使硼加速扩散,穿过栅绝缘膜扩散到基板侧是一个原因。图18示出借助于电容器对PMOS元件劣化程度进行评价的结果。图18是展示电容器的CV特性的特性图。横轴是电压(V),纵轴是电容(F)。示出SiN膜刚形成时的状态的C-V曲线(“●”)。图18还一起示出用来参照的无SiN膜的PMOS元件的C-V曲线(“○”,w/oSiN)。如果发生了PMOS元件的劣化,C-V曲线具有在0V附近下降平缓且向正方向延伸的形状。从图18可看出,刚形成膜的状态下,引起了C-V曲线的移动和电极的耗尽化,发生了元件劣化。
图19中用Vfb表示PMOS元件的劣化程度。图19是展示SiN膜中的SiH量与Vfb的依赖关系的特性图。横轴是SiH量(cm-3),纵轴是vfb。可见,PMOS元件的劣化与SiH量成比例地恶化。即,为了控制PMOS元件的劣化,应减少SiH基。于是,对膜形成后进行光照射减少了SiH基的SiN膜形成的PMOS进行了同样的C-V测定,结果示于图19。图19是展示电容器的C-V特性的特性图。横轴是电压(V),纵轴是电容(F)。示出了对SiN膜进行了光照射的本发明状态下的C-V曲线(“●”,20J/cm2后)。作为参照还一并示出了无SiN膜的PMOS元件的C-V曲线(“○”,w/oSiN)。可见,由于因光照射减少了膜中的SiH基,没有引起元件的劣化。
通过光照射减少了膜中的SiH浓度后,用通常的半导体元件的制造工序形成具有图21所示的DRAM元件的半导体装置。图21是展示DRAM元件的PMOS区的半导体衬底的剖面图。
在半导体衬底401的由元件分离区402划分的元件区上形成作为源/漏区的扩散层409。对栅氮氧化膜403上的晶体硅膜和氮化硅膜(SiN)的叠层体构图形成多个栅结构。在栅结构的侧壁上形成隔层SiN膜406,在其表面上形成衬层SiN膜407。在栅结构之间形成由多晶硅膜408构成的触点。
根据本发明,通过使用减少了膜中氢浓度尤其是SiH基的SiN膜,可防止PMOS元件的劣化。另外,虽然本实施例中叙述了DRAM元件,但是可以适用于在添加硼的多晶膜上形成SiN膜,形成SiN膜后必需高温的后热工序的元件全体上。
下面,参照图22和23说明实施例5。
在该实施例中说明EEPROM。图22和图23是EEPROM的制造工序剖面图。首先,在硅半导体衬底501上形成作为隧道氧化膜的厚8nm的氧化硅膜502。然后,用通常的CVD法在上述氧化硅膜502上堆积200nm左右的作为浮动栅极的多晶硅膜503。之后形成作为加工掩模的厚200nm的氮化硅膜504。此时,氮化硅膜504用SiH2Cl2和NH3在780℃的反应温度下形成。之后,用构图后的光刻胶,通过通常的光刻工艺形成用于元件分离的沟505,之后去除光刻胶(图22(a))。然后,用1050℃的快速热氧化法形成6nm的氧化膜506,之后用500nm的氧化硅膜507填埋沟505,形成氧化硅膜构成的元件分离区。之后用化学的机械的平坦化法除去氧化硅膜507的上部,用热磷酸除去氮化硅膜504(图22(b))。
然后,用CVD法形成作为第二浮动栅极的厚100nm的多晶硅膜508,之后,用通常的光刻工艺加工浮动栅极(图23(a))。然后,如图23(b)所示,用CVD法连续形成作为电极间绝缘膜的ONO膜(SiO2/SiN/SiO2)509,其是氧化膜6nm、氮化膜8nm、氧化膜6nm的叠层体。接着,形成作为控制电极的厚50nm的多晶硅膜510,并用溅射法依次形成50nm厚的WSi膜511。然后,在3毫秒以内的短时间内产生能量为20J/cm2以上、波长200nm以上的白色光,照射到WSi膜511的表面上。此时,把半导体衬底501加热到300℃以上,并且优选地,在不活泼气体中照射。图24示出光照射后的WSi膜511的薄膜电阻。图24示出刚形成WSi膜时的状态、照射一次和照射三次20J/cm2的状态。纵轴表示WSi膜的薄膜电阻(Ω/□)。可看出因光照射薄膜电阻大大减小。低电阻化是由于因光照射只有WSi部分温度上升,WSi的粒径增大,促进单晶化造成的。
在现有技术中,WSi的低电阻化采用快速升降温(RTA)装置进行。本发明的一次照射、三次照射分别对应于950℃×30秒和1050℃×30秒的RTA。如上所述,上述RTA温度下的低电阻化不会引起栅绝缘膜的性能劣化。
若采用本实施例,虽然因光照射热传导性高的WSi部分升到1000℃以上的高温,但下层上形成的栅绝缘膜没有到基板加热温度以上。因此,不会引起栅绝缘膜的劣化,可以低电阻化。另外,虽然本实施例中描述了WSi,但用其它金属也可实现与本发明同样的低电阻化。
本发明借助于上述构成,引起TiN膜与基板界面的反应,可还原自然氧化膜等,且由于在非常短的时间内热处理,不会对扩散层的杂质分布带来影响。而且,金属原子不从硅化物层向基板方向扩散,可以从金属一硅化物层向热稳定的金属二硅化物层转化。另外,可以在不会引起元件劣化的低温下减少SiN膜中的氢,并可在不会引起元件劣化的低温下使WSi低电阻化。

Claims (9)

1.一种半导体装置的制造方法,其特征在于包括:
在表面上已形成有金属硅化物层的由硅构成的半导体衬底上形成绝缘膜的工序,该半导体衬底用来在其上形成半导体元件;
蚀刻上述绝缘膜,直到露出在上述半导体衬底表面上形成的上述金属硅化物层,从而在上述绝缘膜中形成接触孔的工序;
在上述接触孔的底面和侧面上形成金属氮化膜的工序;
对上述半导体衬底实施600℃以下温度的第一加热处理的工序;
在上述第一加热处理工序中,以10毫秒以下的短时间并用具有以800nm以下的波长为主的发光波长的光进行第二加热处理的工序;
在进行上述第二加热处理的工序后,在上述接触孔内形成触点的工序;以及
在上述绝缘膜上形成借助于上述触点与上述半导体衬底电气连接的布线的工序。
2.如权利要求1所述的半导体装置的制造方法,其特征在于:
上述金属氮化膜中含的金属的反射率为0.50以下。
3.如权利要求1或2所述的半导体装置的制造方法,其特征在于:上述金属氮化膜是从钛、钽、铌、钒、铪、锆中选出的至少一种金属的氮化膜。
4.如权利要求3所述的半导体装置的制造方法,其特征在于:上述金属氮化膜含有金属卤化物。
5.一种半导体装置的制造方法,其特征在于包括:
在由硅构成的半导体衬底上形成金属膜,该金属膜形成为覆盖在上述半导体衬底表面区域上形成的源/漏区、和在该源/漏区之间上夹着栅绝缘膜形成的多晶硅栅极的工序;
对上述半导体衬底实施第一加热处理,使上述源/漏区上和上述栅极上的金属膜变成金属一硅化物层的工序;
除去上述金属膜中的未反应部分的工序;
在600℃以下的温度下对上述半导体衬底实施第二加热处理的工序;以及
在实施第一加热处理的工序中,以10毫秒以下的短时间并用具有以800nm以下的波长为主的发光波长的光进行第三加热处理,使上述金属一硅化物层变成金属二硅化物层的工序。
6.如权利要求5所述的半导体装置的制造方法,其特征在于:上述金属膜是从钴、钛、镍、铪、锆、钯、铂中选出的至少一种材料。
7.一种半导体装置的制造方法,其特征在于包括:
在半导体衬底上形成栅绝缘膜的工序;
在上述栅绝缘膜上形成多晶硅膜的工序;
向上述多晶硅膜掺入杂质的工序;
在上述多晶硅膜上形成氮化硅膜的工序;
把上述半导体衬底加热到300~650℃的工序;
在上述加热过程中,以10~100J/cm2的能量和10毫秒以内的时间照射波长为200nm~800nm的白色光至少一次的工序;以及
对上述多晶硅膜和上述氮化硅膜构图,形成由覆盖上述多晶硅膜的上述氮化硅膜构成的栅极的工序。
8.如权利要求7所述的半导体装置的制造方法,其特征在于:上述氮化硅膜是借助于二氯甲硅烷或六氯甲硅烷与氨的反应通过CVD法形成的。
9.一种半导体装置的制造方法,其特征在于包括:
在半导体衬底上形成栅绝缘膜的工序;
在上述栅绝缘膜上形成第一多晶硅膜的工序;
在上述第一多晶硅膜上形成电极间绝缘膜的工序;
在上述电极间绝缘膜上形成第二多晶硅膜的工序;
在上述第二多晶硅膜上形成金属硅化物膜的工序;
把上述半导体衬底加热到300~650℃的工序;
在上述加热过程中,以10~100J/cm2的能量和10毫秒以内的时间照射波长为200nm~800nm的白色光至少一次的工序;以及
对上述金属硅化物膜、上述第二多晶硅膜、上述电极间绝缘膜和上述第一多晶硅膜构图,形成包括由上述第一多晶硅膜构成的浮动栅极、由上述电极间绝缘膜和上述第二多晶硅膜和上述金属硅化物膜构成的控制栅极的栅极结构的工序。
CNB011331631A 2000-10-12 2001-09-20 半导体装置的制造方法 Expired - Fee Related CN1191622C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000312015A JP2002118078A (ja) 2000-10-12 2000-10-12 半導体装置の製造方法及び半導体装置
JP312015/2000 2000-10-12

Publications (2)

Publication Number Publication Date
CN1349253A CN1349253A (zh) 2002-05-15
CN1191622C true CN1191622C (zh) 2005-03-02

Family

ID=18791682

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011331631A Expired - Fee Related CN1191622C (zh) 2000-10-12 2001-09-20 半导体装置的制造方法

Country Status (5)

Country Link
US (2) US6767796B2 (zh)
JP (1) JP2002118078A (zh)
KR (1) KR100431484B1 (zh)
CN (1) CN1191622C (zh)
TW (1) TW509989B (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100399357B1 (ko) * 2001-03-19 2003-09-26 삼성전자주식회사 코발트 실리사이드를 이용한 반도체 장치 및 그 형성 방법
KR100414220B1 (ko) * 2001-06-22 2004-01-07 삼성전자주식회사 공유 콘택을 가지는 반도체 장치 및 그 제조 방법
JP4451054B2 (ja) * 2001-11-09 2010-04-14 株式会社半導体エネルギー研究所 発光装置及びその作製方法
JP4493905B2 (ja) * 2001-11-09 2010-06-30 株式会社半導体エネルギー研究所 発光装置及びその作製方法
US7042024B2 (en) * 2001-11-09 2006-05-09 Semiconductor Energy Laboratory Co., Ltd. Light emitting apparatus and method for manufacturing the same
JP3753994B2 (ja) * 2002-03-11 2006-03-08 松下電器産業株式会社 半導体装置の製造方法
DE10324657B4 (de) * 2003-05-30 2009-01-22 Advanced Micro Devices, Inc. (n.d.Ges.d. Staates Delaware), Sunnyvale Verfahren zur Herstellung eines Metallsilizids
US6905624B2 (en) * 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
JP4041785B2 (ja) 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
KR101064799B1 (ko) * 2003-10-16 2011-09-14 제이에스알 가부시끼가이샤 규소-코발트 막 형성용 조성물, 규소-코발트 막 및 상기막의 형성 방법
US6955965B1 (en) * 2003-12-09 2005-10-18 Fasl, Llc Process for fabrication of nitride layer with reduced hydrogen content in ONO structure in semiconductor device
US6949481B1 (en) * 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
JP4342429B2 (ja) 2004-02-09 2009-10-14 株式会社東芝 半導体装置の製造方法
JP4594664B2 (ja) 2004-07-07 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4738959B2 (ja) * 2005-09-28 2011-08-03 東芝モバイルディスプレイ株式会社 配線構造体の形成方法
NL1030200C2 (nl) * 2005-10-14 2007-04-17 Stichting Energie Werkwijze voor het vervaardigen van n-type multikristallijn silicium zonnecellen.
KR100861220B1 (ko) * 2006-08-30 2008-09-30 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
JP5309454B2 (ja) 2006-10-11 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2009141096A (ja) * 2007-12-06 2009-06-25 Renesas Technology Corp 半導体装置の製造方法
US7955964B2 (en) * 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
JP5324849B2 (ja) * 2008-07-18 2013-10-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8304319B2 (en) * 2010-07-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a disilicide
US7985668B1 (en) * 2010-11-17 2011-07-26 Globalfoundries Inc. Method for forming a metal silicide having a lower potential for containing material defects
KR101105091B1 (ko) * 2011-07-27 2012-01-16 김용수 밤 수확기 및 이의 설치방법
US8815736B2 (en) * 2011-08-25 2014-08-26 Globalfoundries Inc. Methods of forming metal silicide regions on semiconductor devices using different temperatures
CN102751236A (zh) * 2012-06-27 2012-10-24 上海宏力半导体制造有限公司 互连结构的形成方法
US20140306290A1 (en) * 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate
JP6639188B2 (ja) * 2015-10-21 2020-02-05 ソニーセミコンダクタソリューションズ株式会社 半導体装置、および製造方法
US11025031B2 (en) * 2016-11-29 2021-06-01 Leonardo Electronics Us Inc. Dual junction fiber-coupled laser diode and related methods
JP6814965B2 (ja) * 2017-03-06 2021-01-20 パナソニックIpマネジメント株式会社 半導体エピタキシャルウェハ、半導体素子、および半導体素子の製造方法
US11406004B2 (en) 2018-08-13 2022-08-02 Leonardo Electronics Us Inc. Use of metal-core printed circuit board (PCB) for generation of ultra-narrow, high-current pulse driver
US11056854B2 (en) 2018-08-14 2021-07-06 Leonardo Electronics Us Inc. Laser assembly and related methods
US11296481B2 (en) 2019-01-09 2022-04-05 Leonardo Electronics Us Inc. Divergence reshaping array
US11752571B1 (en) 2019-06-07 2023-09-12 Leonardo Electronics Us Inc. Coherent beam coupler
CN113327888B (zh) * 2020-02-28 2022-11-22 长鑫存储技术有限公司 半导体结构的制造方法
JP2021141254A (ja) 2020-03-06 2021-09-16 キオクシア株式会社 半導体装置およびその製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US13280A (en) * 1855-07-17 Water-wheel
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
JP3262676B2 (ja) * 1993-06-25 2002-03-04 株式会社リコー 半導体装置
JP3612221B2 (ja) 1998-09-25 2005-01-19 株式会社共立 遠心式送風機を備えた携帯型作業機
JP2001093853A (ja) 1999-09-20 2001-04-06 Sanyo Electric Co Ltd 半導体装置および半導体装置の製造方法
JP3906005B2 (ja) * 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
TWI313059B (zh) * 2000-12-08 2009-08-01 Sony Corporatio

Also Published As

Publication number Publication date
KR100431484B1 (ko) 2004-05-14
KR20020029297A (ko) 2002-04-18
US20040248393A1 (en) 2004-12-09
US7041584B2 (en) 2006-05-09
US20020086486A1 (en) 2002-07-04
CN1349253A (zh) 2002-05-15
JP2002118078A (ja) 2002-04-19
US6767796B2 (en) 2004-07-27
TW509989B (en) 2002-11-11

Similar Documents

Publication Publication Date Title
CN1191622C (zh) 半导体装置的制造方法
US7221056B2 (en) Semiconductor integrated circuit device and manufacturing method thereof
CN1260817C (zh) 含有绝缘栅场效应晶体管的半导体器件及其制造方法
CN1309052C (zh) 用于制造垂直dram中的钨/多晶硅字线结构的方法及由此制造的器件
CN1315179C (zh) 半导体器件的制造方法
CN1187813C (zh) 半导体集成电路器件的制造方法
CN2731718Y (zh) 具有多样的金属硅化物的半导体元件
CN1090427A (zh) 半导体器件及其制造方法
CN1107344C (zh) 利用有选择的外延生长方法的半导体器件制造方法
CN1505155A (zh) 半导体器件及其制造方法
CN1501461A (zh) 半导体器件及其制造方法
CN1716553A (zh) 半导体元件及其制造方法
CN101599453B (zh) 制造半导体衬底的方法
CN1110007A (zh) 具有接触结构的半导体器件及其制造方法
CN1716607A (zh) 半导体器件及其制造方法
CN1495864A (zh) 制造半导体器件的方法
CN101043037A (zh) 半导体器件及其制造方法
CN101038874A (zh) 形成硅氧化物膜的方法和制造电容器与半导体装置的方法
CN85103535A (zh) 在材料(例如半导体材料)中加工亚微型槽的方法以及用这种方法制成的器件
CN1144273C (zh) 半导体器件及其制造方法
CN1032173C (zh) 具有改良的绝缘栅型晶体管的半导体器件
CN1139992C (zh) 具有硅化物层的半导体器件及其制造方法
CN1165073C (zh) 半导体装置的制造方法
CN1270386C (zh) 半导体器件及其制造方法
CN1245754C (zh) 非易失性半导体存储器的制造方法和非易失性半导体存储器

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050302

Termination date: 20091020