CN1146963C - 通过混合物流沉积的多纳米孔隙二氧化硅 - Google Patents

通过混合物流沉积的多纳米孔隙二氧化硅 Download PDF

Info

Publication number
CN1146963C
CN1146963C CNB998127620A CN99812762A CN1146963C CN 1146963 C CN1146963 C CN 1146963C CN B998127620 A CNB998127620 A CN B998127620A CN 99812762 A CN99812762 A CN 99812762A CN 1146963 C CN1146963 C CN 1146963C
Authority
CN
China
Prior art keywords
logistics
compositions
film
organic solvent
alkali
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB998127620A
Other languages
English (en)
Other versions
CN1325541A (zh
Inventor
H・-J・吴
H·-J·吴
德拉格
J·S·德拉格
布伦加德特
L·B·布伦加德特
斯�
T·拉莫斯
史密斯
D·M·史密斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
AlliedSignal Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AlliedSignal Inc filed Critical AlliedSignal Inc
Publication of CN1325541A publication Critical patent/CN1325541A/zh
Application granted granted Critical
Publication of CN1146963C publication Critical patent/CN1146963C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Abstract

一种在基质上形成多纳米孔隙电介质涂层的方法。该方法包括无论(i)将烷氧基硅烷组合物物流和含有催化剂组合物的碱物流结合形成混合组合物物流;直接将该混合组合物物流沉积到基质的表面上,并将该混合组合物与水接触(无论按次序或同时);以及将混合组合物固化;或(ii)将烷氧基硅烷组合物物流和水流结合形成混合组合物物流,直接将该混合组合物物流沉积到基质的表面上;并将混合组合物固化。

Description

通过混合物流沉积的多纳米孔隙二氧化硅
                         发明背景
发明领域
本发明涉及集成电路。特别是它涉及在集成电路生产中是有用的多纳米孔隙电介质涂层的生产方法。
现有技术说明
在集成电路生产中,由于特征尺寸接近或低于25μm,互连RC延迟、能量消耗及交叉干扰问题变得愈加重要。已发现在层面间绝缘和金属间绝缘应用中使用低介电常数(K)材料部分地减轻了这些问题。工业界考虑的各种可选择材料,虽然它们的介电常数明显低于目前使用的密集二氧化硅,但都有缺点。大多数低介电常数材料开发使用旋装玻璃(spin-on-glasses)和氟化等离子体化学蒸汽沉积SiO2,具有>3的K值。一些有机或无机聚合物的介电常数为大约2.2~3.5,然而,它们的问题是热稳定性低,力学性能差,包括低玻璃化温度、样品脱气和长时间可靠性问题。
一种解决办法是使用多纳米孔隙二氧化硅,它的介电常数可以是大约1~3。多纳米孔隙二氧化硅特别有吸引力,这是由于能小心控制它的孔隙大小和孔隙分布,还因为它使用的母体如四甲氧基硅烷(“TMOS”),与目前用于旋装玻璃(SOG’s)及CVD SiO2的相同。除了具有低介电常数外,多纳米孔隙二氧化硅还为微电子学提供了其他的优点,包括高至900℃的热稳定性;小孔径(<<微电子学特征);使用的材料即二氧化硅和它的母体是在半导体工业中广泛使用的;能够在广范围内调整介电常数,及可使用与传统旋装玻璃方法所用相同的设备沉积。在此引入作为参考的EP专利申请EP 0 775 669 A2出示了一个生产多纳米孔隙二氧化硅膜的方法,该膜全部膜厚具有均匀的密度。
控制多纳米孔隙二氧化硅电介质重要性质的关键参数是孔隙度即密度的倒数。高孔隙度材料不仅导致介电常数比密集材料的低,它们还允许引进附加组分和加工步骤。随着密度降低,介电常数和力学强度也降低,但孔隙尺寸增加。涉及多孔材料的重要要点包括孔径、与多孔性发生联系的强度降低、及表面化学对介电常数的作用、损耗和环境稳定性。
通过如浸渍-涂布或旋转-涂布方法在基质上制备多纳米孔隙二氧化硅膜。一个已知的二氧化硅沉积的方法是预混合法,籍此将预混组合物沉积到一个旋转的基质上而均匀地涂布于基质,预混组合物包含二氧化硅母体组合物,含有水和/或一种碱及一种有机溶剂。预混合法的一个缺点是溶剂和二氧化硅母体组合物刚一接触就立即开始相互反应。只要反应开始就不能停止。混合物的液体性质如粘度随反应继续而变化。这些性质的变化可能引起浆块,促使在基质上形成的膜不均匀。在另一个已知的二氧化硅沉积的方法中,二氧化硅母体组合物沉积到基质上,然后与蒸汽形式水和/或一种碱性催化剂混合。这样的方法引起均匀性问题。无疑需要一种将多纳米孔隙二氧化硅膜涂布到基质上的方法,该方法将使厚度偏差最小化,并且使膜达到最大限度的均匀性。
本发明给这个问题提供了解决方案。已出乎意料地发现,烷氧基硅烷组合物加水、或一种碱性催化剂、或水及一种碱性催化剂两者结合成混合组合物物流,在它们结合后立即沉积到基质的表面上可形成更加均匀的膜。另外,烷氧基硅烷组合物和任意含有催化剂组合物的碱形成混合组合物物流,将该物流曝露于水如水蒸气可生成膜。使用混合物流方法时,混合组合物物流的组分首先在基质上空相互接触,如此在单独物流的汇合点该混合物流是游离的。这样使沉积前组分之间的反应时间减少到最小,允许更好地控制膜的性质如厚度、孔径、介电常数等。在曝露于水后,本发明的混合物流形成凝胶,然后固化、老化和干燥。使用此法可在基质上形成一种密度和膜厚度更加均一的多纳米孔隙二氧化硅膜。
美国专利5,736,425将起始材料制备成预混物并将它们涂在基质上。JP 05 243140A说明了分别配制各溶剂并直接将溶液涂布在晶片上,起始材料在涂到基质上之前没有反应。EP-A-0 318,395说明了配制被分配在单独的管道中的气体的预混物。本发明给这个问题提供了解决方案。已出乎意料地发现,烷氧基硅烷组合物加水、或一种碱性催化剂、或水及一种碱性催化剂两者结合成混合组合物物流,在它们结合后立即沉积到基质的表面上可形成更加均匀的膜。另外,烷氧基硅烷组合物和任意含有催化剂组合物的碱形成混合组合物物流,将该物流曝露于水如水蒸气可生成膜。使用混合物流方法时,混合组合物物流的组分首先在基质上空相互接触,如此在各自物流的汇合点该混合物流是游离的。这样使沉积前组分之间的反应时间减少到最小,允许更好地控制膜的性质如厚度、孔径、介电常数等。在曝露于水后,本发明的混合物流形成凝胶,然后该凝胶固化、老化和干燥。使用此法可在基质上形成一种密度和膜厚度更加均匀的多纳米孔隙二氧化硅膜。
发明概述
本发明提供了在基质上形成多纳米孔隙电介质涂层的方法,该方法包括:
A)实施无论(i)或(ii):
i)a)将一种烷氧基硅烷组合物物流和含催化剂组合物的碱物流结合,形成在汇合点是游离的混合组合物物流;及
无论按次序或同时进行步骤(b)和(c):
b)立即将该混合组合物物流沉积到基质表面上;
c)将混合组合物与水接触;及
ii)a)将一种烷氧基硅烷组合物物流和水流结合,形成在汇合点是游离的混合组合物物流;及
b)立即将该混合组合物物流沉积到基质表面上;及
B)固化该混合组合物。
本发明还提供了一种半导体器件,它的生产方法包括:
A)实施无论(i)或(ii):
i)a)将一种烷氧基硅烷组合物物流和含有催化剂组合物的碱物流结合,形成在汇合点是游离的混合组合物物流;及
无论按次序或同时进行步骤(b)和(c):
b)立即将该混合组合物物流沉积到半导体基质表面上;
c)将该混合组合物曝露于水;及
ii)a)将一种烷氧基硅烷组合物物流和水流结合,形成在汇合点是游离的混合组合物物流;及
b)立即将该混合组合物物流沉积到半导体基质表面上;及B)  固化该混合组合物。
                        附图简述
图1是表示泵装置的示意图,该泵装置有两个分离储罐,各储罐带有分别单独排出烷氧基硅烷组合物和水的排出管。
图2是表示泵装置的示意图,该泵装置有两个分离储罐,各储罐带有分别单独排出烷氧基硅烷组合物和含催化剂组合物的碱的排出管。
图3是表示泵装置的示意图,该泵装置有三个分离储罐,各储罐带有分别单独排出烷氧基硅烷组合物、含催化剂组合物的碱和水的排出管。
图4是表示泵装置的示意图,该泵装置有两个分离储罐,各储罐带有分别单独排出烷氧基硅烷组合物和含催化剂组合物的碱的排管。该装置有一个包括基质的密团箱,它带有水蒸气输入端。
                   优选实施方案详述
根据本发明,烷氧基硅烷母体组合物由至少一种烷氧基硅烷和一种溶剂组合物形成。烷氧基硅烷组合物以物流的形式排到合适的基质上。在一个优选的实施方案中,烷氧基硅烷组合物物流与水流结合形成混合组合物物流,然后立即排到基质上。
在另一个实施方案中,由烷氧基硅烷组合物物流和含催化剂组合物的碱物流形成混合组合物物流。然后混合组合物物流沉积到基质的表面上,曝露于水蒸气气氛中使母体组合物水解形成凝胶层。在本发明又一个实施方案中混合组合物物流沉积到基质上,然后曝露于水蒸气气氛形式的水中。在本发明再一个实施方案中,混合组合物物流在沉积到基质上之前与水接触。在本发明更一个实施方案中混合组合物物流同时与水接触和沉积到基质上,这可以是以水流的形式或以水蒸气气氛的形式。在沉积和与水接触后该混合组合物可被固化、老化或干燥,由此在基质上形成多纳米孔隙电介质涂层。
上述混合物流组合物的物流组分在即将沉积之前,在基质表面上空相互接触。在各单独物流的汇合点,通过管子、管道或多支管使混合物流不结合。这使得沉积前组分之间的反应时间减少到最小,阻止了供料管交叉点内的反应。
图1~4表明了各种装置的示意图,这些装置可用于沉积根据本发明的烷氧基硅烷、水和碱性组合物的混合物流。虽然这些图显示使用注射泵,也可使用其他装置使混合组合物物流的组分结合,这不仅包括旋塞、喷头、软管、储罐、导管、管子等。可使用各种方法使组分结合,如滴注、喷射、流注、喷雾等。
在本发明的一个实施方案中,如图1所表示的泵装置4中混合组合物物流9的两个分离的组分分别单独装在储罐1和2中,直到它们将要相互反应。储罐1和2装有烷氧基硅烷组合物和水,并有分离的排管5和6,用于排出烷氧基硅烷组合物和水。烷氧基硅烷组合物和水分别通过管5和6从装置4排出,结合在一起形成混合组合物物流9,物流9沉积到基质8的表面。在另一个实施方案中,如从图2看到,泵装置4在分离的储罐1和2中装有烷氧基硅烷组合物和含催化剂组合物的一种碱。
在本发明的另一个实施方案中,如图3表示泵装置4可以有分离的储罐1、2和3,各带有分离的排管5、6和7,分别用于排出烷氧基硅烷组合物、含催化剂组合物的碱和水。
图4表示的泵装置中,烷氧基硅烷和碱性催化剂的混合物流,在一个保持水蒸气气氛的密闭环境中沉积到基质上。图4表示泵装置4有两个分离的储罐1和2,各带有分离的排管5和6,分别用于排出烷氧基硅烷组合物和一种含催化剂组合物的碱。该装置还有一个密闭的包括基质的容器10,它带有一个水蒸气输入端11。根据本发明的这个实施方案,用泵将水蒸气13从储水罐12通过水蒸气输入端11注入容器10。这使得混合组合物物流9无论在沉积到基质8表面的过程中或以后都与水接触。
用于本发明的烷氧基硅烷包括那些具有下列化学式的化合物:
其中至少2个R基团是独立的C1~C4烷氧基,而其余的,假如有的话,是独立地选自氢、烷基、苯基、卤素、取代苯基。为本发明的目标,该术语烷氧基包括在接近室温的温度下,任何其他可通过水解容易地与硅分解的有机基团。R基团可以是乙二氧基或丙二氧基或类似基团,但优选全部四个R基团是甲氧基、乙氧基、丙氧基或丁氧基。最优选的烷氧基硅烷不仅包括四乙氧基硅烷(TEOS)和四甲氧基硅烷。
烷氧基硅烷的优选溶剂组合物包括相对高挥发性溶剂或相对低挥发性溶剂、或相对高挥发性溶剂和相对低挥发性溶剂两者。在沉积到基质上以后至少部分溶剂,尤其是高挥发性溶剂立即蒸发。由于材料的粘度较低,在首要溶剂或部分溶剂离去后,部分干燥导致更好的平面性。更多的挥发性溶剂在数秒钟或数分钟期间蒸发。可任意使用稍微提高温度来加速此步骤。优选该温度范围为大约20℃~大约80℃,更优选大约20℃~大约50℃,最优选大约20℃~大约35℃。
为本发明目标,相对高挥发性溶剂是一种蒸发温度低于,优选显著低于相对低挥发性溶剂的溶剂。优选相对高挥发性溶剂的沸点为大约或低于120℃,更优选为大约或低于100℃。适宜的高挥发性溶剂不仅包括甲醇、乙醇、正丙醇、异丙醇、正丁醇及它们的混合物,本领域技术人员可很容易决定与另外组分相容的其他相对高挥发性溶剂。
相对低挥发性溶剂组合物是一种蒸发温度高于,优选显著高于相对高挥发性溶剂的溶剂组合物。优选相对低挥发性溶剂组合物的沸点为大约或高于175℃,更优选高于200℃或更高。适宜的低挥发性溶剂组合物不仅包括醇类和包括二醇类的多元醇类,如乙二醇、1,4-丁二醇、1,5-戊二醇、1,2,4-丁三醇、1,2,3-丁三醇、2-甲基丙三醇、2-(羟甲基)-1,3-丙二醇、1,41,4-丁二醇、2-甲基-1,3-丙二醇、四甘醇、三甘醇单甲醚、甘油及它们的混合物,本领域技术人员可很容易决定与另外组分相容的其他相对低挥发性溶剂组合物。
优选上述烷氧基硅烷组分的存在量为全部混合物的3重量%~50重量%,更优选5重量%~45重量%,及最优选10重量%~40重量%。
优选上述烷氧基硅烷母体组合物的溶剂组分的存在量为全部混合物的20重量%~90重量%,更优选30重量%~70重量%,及最优选40重量%~60重量%。当高和低挥发性溶剂两者都存在时,优选高挥发性溶剂组分的存在量为全部混合物的20重量%~90重量%,更优选30重量%~70重量%,及最优选为全部混合物的40重量%~60重量%。当高和低挥发性溶剂两者都存在时,优选低挥发性溶剂组分的存在量为全部混合物的1重量%~40重量%,更优选3重量%~30重量%,及最优选为全部混合物的5重量%~20重量%。
典型的基质是适合于加工成集成电路或其他微电子器件的基质。本发明适合的基质不仅包括半导体材料如砷化镓、硅及含硅的组合物,该组合物所含的硅如结晶硅、聚硅、无定形硅、外延硅和二氧化硅,及它们的混合物。基质表面上可选择地有线路。如有线路,则典型地是通过熟知的印刷技术形成,可由金属、氧化物、氮化物或氧氮化物组成的。线路适合的材料包括二氧化硅、氮化硅、氮化钛、氮化钽、铝、铝合金、铜、铜合金、钽、钨和氧氮化硅。这些线路形成集成电路的导体和绝缘体。它们彼此精密地被分开,优选相互距离为大约或小于20微米,更优选大约或小于1微米,最优选大约0.05~大约1微米。
上述含催化剂组合物的碱含有碱、或碱加水,或碱加有机溶剂、或碱加水及有机溶剂两者。碱以催化量存在,本领域技术人员可很容易决定。优选碱对硅烷的摩尔比范围为大约0~大约0.2,更优选大约0.001~大约0.05,及最优选大约0.005~大约0.02。含有水以提供使烷氧基硅烷水解的介质。优选水对硅烷的摩尔比范围为大约0~大约50,更优选大约0.1~大约10,及最优选大约0.5~大约1.5。含催化剂组合物的碱适合的溶剂包括上文作为高挥发性溶剂列出的那些溶剂。最优选的溶剂是醇类如乙醇和异丙醇。
在优选的实施方案中,优选曝露时水的温度范围为大约10℃~大约60℃,更优选大约15℃~大约50℃,及最优选大约20℃~大约40℃。
在优选的实施方案中,优选曝露时碱的温度范围为大约10℃~大约60℃,更优选大约15℃~大约40℃,及最优选大约20℃~大约30℃。
适合的碱不仅包括氨和胺类,如一级、二级和三级烷基胺、芳基胺、醇胺及它们的混合物,优选它们的沸点至少大约-50℃,更优选至少大约50℃,最优选至少大约150℃。优选的胺类有醇胺,烷基胺类,甲胺,二甲胺,三甲胺,正丁胺,正丙胺,氢氧化四甲胺,哌啶,2-甲氧基乙胺,单-、双-或三乙醇胺,和单-、双-或三异丙醇胺。
一种胺在水中接受质子的能力依据碱度常数Kb来测量,且pKb=-1ogKb。在优选的实施方案中,上述碱的pKb范围可为大约小于0~大约9,更优选大约2~大约6,及最优选大约4~大约5。
可以传统方式使该混合组合物固化、老化或干燥,传统方式如较低挥发性溶剂的溶剂蒸发。可使用提高温度使涂层固化、老化或干燥。优选温度为大约20℃~大约450℃,更优选大约50℃~大约350℃,及最优选大约175℃~大约320℃。为本发明目标,术语“固化”涉及沉积和曝露于水后基质上的混合组合物的固化、老化或干燥。
作为结果,在基质上形成了一种相对高孔隙度、低介电常数的含硅聚合物组合物。优选含硅聚合物组合物具有介电常数为大约1.1~大约3.5,更优选大约1.3~大约3.0,及最优选大约1.5~大约2.5。优选二氧化硅组合物的孔径在大约1nm~大约100nm,更优选大约2nm~大约30nm,及最优选大约3nm~大约20nm。优选含硅组合物包括孔隙在内的密度为大约0.1~大约1.9g/cm2,更优选大约0.25~大约1.6g/cm2,及最优选大约0.4~大约1.2g/cm2
在一个任选附加的步骤中,基质上的多纳米孔隙二氧化硅电介质膜与有效量的表面改性试剂反应,反应时间周期要足以使该表面改性试剂渗入孔隙结构并使其变得疏水。该表面改性试剂是疏水的并适合于使亲水孔表面的硅烷醇部分硅烷化。优选的表面改性试剂具有的化学式选自:R3SiNHSiR3、RxSiCly、RxSi(OH)y、R3SiOSiR3、RxSi(OR)y、MpSi(OH)(4-p)、RxSi(OCOCH3)y和它们的混合物,其中x是1~3的整数,y是1~3的整数,使y=4-x,p是2~3的整数;各R独立地选自氢和一种疏水的有机部分;各M独立地选自一种疏水的有机部分;R和M可以相同或不同。优选R和M基团独立地选自由烷基、芳基和它们的混合组成的有机部分。该烷基部分是取代或未取代的,选自直链烷基、支链烷基、环烷基和它们的混合,及其中所说烷基部分的大小范围为C1~C18。芳基部分是取代或未取代的,其大小范围为C5~C18。优选表面改性试剂选自乙酸基三甲基硅烷、乙酸基硅烷、二乙酸基二甲基硅烷、甲基三乙酸基硅烷、苯基三乙酸基硅烷、二苯基二乙酸基硅烷、三甲基乙氧基硅烷、三甲基甲氧基硅烷、2-三甲基甲硅烷氧基-2-戊烯-4-酮、n-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、n-(三甲基甲硅烷基)咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基(三甲基甲硅烷氧基)-乙酸酯、九甲基三硅氮烷、六甲基二硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇及它们的混合物。最优选的表面改性试剂是六甲基二硅氮烷。表面改性试剂可以与适合的溶剂如丙酮混合,以蒸汽或液体形式用于多纳米孔隙二氧化硅表面。
下列非限制性实施例作为对本发明的说明。
                        实施例1
这个实施例用实验说明通过共沉积作用沉积的催化多纳米孔隙二氧化硅母体可在洁净室的环境湿度下老化生成低密度均匀的薄膜。
母体合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释21.6重量%以减少粘度。
所用催化剂是单乙醇胺,它在乙醇中稀释8.75重量%以减少粘度并增加凝胶化时间。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积后晶片以2500rpm旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为35%,将该膜老化15min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷(HMDZ)的混合物,沉积20秒钟不让膜干燥。膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。试验结果在表1列出。
           表1
 15min共沉积膜的表征结果
    厚度(埃)     10,000
    折射指数     1.158
    疏水性(接触角)     >80°
                        实施例2
这个实施例用实验说明通过共沉积作用沉积的催化多纳米孔隙二氧化硅母体可在相对湿度为50%的可控水蒸气箱中下老化生成低密度均匀的薄膜。
母体合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈地混合,然后加热到~80℃并回流1.5小时形成一种溶液。让该溶液冷却后用乙醇稀释21.6重量%以减少粘度。
所用催化剂是单乙醇胺,它在乙醇中稀释91.25重量%以减少粘度并增加凝胶化时间。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积后晶片以2500rpm旋转30秒钟。所得膜放入一个带氮气流的密闭箱,氮气以2l/min的速度从水中流过,气流通过密闭箱数秒钟,使湿度提高到50%。在此饱和的环境下将该膜老化15min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷(HMDZ)的混合物,沉积20秒钟不让膜干燥。膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。试验结果在表2列出。
            表2
  15min共沉积膜的表征结果
    厚度(埃)     10,100
    折射指数     1.145
    疏水性(接触角)     >80°
                        实施例3
这个实施例用实验说明通过共沉积作用沉积的催化多纳米孔隙二氧化硅母体可在洁净室的环境湿度下老化生成低密度均匀的薄膜。在这个实施例中在沉积之后旋转之前在原地滞留15秒钟的时间,让其更好地混合。
母体合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈地混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释21.6重量%以减少粘度。所用催化剂是单乙醇胺,它在乙醇中稀释91.25重量%以减少粘度并增加凝胶化时间。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。让该母体/催化剂混合物扩散15秒钟穿过晶片,然后以2500rpm的速度旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为35%,将该膜老化15min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷(HMDZ)的混合物,沉积20秒钟不让膜干燥。然后膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。试验结果在表3列出。
            表3
  15min共沉积膜的表征结果
    厚度(埃)     11,100
    折射指数     1.15
    疏水性(接触角)     >80°
                        实施例4
这个实施例用实验说明通过共沉积作用沉积的催化多纳米孔隙二氧化硅母体可在洁净室的环境湿度下老化生成低密度均匀的薄膜。在这个实施例中胺类混合物被用作催化剂源。
母体合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈地混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释21.6重量%以减少粘度。
所用催化剂是三亚乙基戊胺和单乙醇胺的50/50重量比混合物。混合物在乙醇中稀释91.25重量%以减少粘度并增加凝胶化时间。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积后让该溶液扩散15秒钟穿过晶片,然后以2500rpm的速度旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为35%,将该膜老化60min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷(HMDZ)的混合物,沉积20秒钟不让膜干燥。然后膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。试验结果在表4列出。
            表4
   60min共沉积膜的表征结果
    厚度(埃)     11,000
    折射指数     1.15
    疏水性(接触角)     >80°
                        实施例5
这个实施例用实验说明通过共沉积作用沉积的催化多纳米孔隙二氧化硅母体可在洁净室的环境湿度下老化生成低密度均匀的薄膜。
母体合成是将94.18mL四乙氧基硅烷,61.0mL三甘醇单甲醚,7.55mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释58重量%以减少粘度。
所用催化剂是单乙醇胺,它在乙醇中稀释92.0重量%以减少粘度并增加凝胶化时间。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积后让该溶液扩散30秒钟穿过晶片,然后以2500rpm的速度旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为35%,将该膜老化8min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷(HMDZ)的混合物,沉积20秒钟不让膜干燥。膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。实验结果在表5列出。
             表5
    8min共沉积膜的表征结果
    厚度(埃)     7500
    折射指数     1.142
    疏水性(接触角)     >80°
                        实施例6
这个实施例用实验说明通过共沉积作用动态沉积的催化多纳米孔隙二氧化硅母体可在洁净室的环境湿度下老化生成低密度均匀的薄膜。
母体合成是将94.18mL四乙氧基硅烷,61.0mL三甘醇单甲醚,7.55mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释58重量%以减少粘度。
所用催化剂是单乙醇胺,它在乙醇中稀释92.0重量%以减少粘度并增加凝胶化时间。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积过程中晶片以100rpm的速度旋转,然后以2500rpm的速度旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为35%,将该膜老化8min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷(HMDZ)的混合物,沉积20秒钟不让膜干燥。膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试巯水性。实验结果在表6列出。
            表6
   8min共沉积膜的表征结果
    厚度(埃)     7500
    折射指数     1.140
    疏水性(接触角)     >80°
                        实施例7
这个实施例用实验说明通过共沉积作用沉积的催化多纳米孔隙二氧化硅母体可在洁净室的环境湿度下老化生成低密度均匀的薄膜。在这个实施例中三亚乙基戊胺被用作催化剂源。
母体合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释21.6重量%以减少粘度。催化剂使用三亚乙基戊胺和,它在乙醇中稀释8.75重量%以减少粘度并增加凝胶化时间。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积后让该溶液扩散15秒钟穿过晶片,然后以2500rpm的速度旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为42%,将该膜老化60min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷(HMDZ)的混合物,沉积20秒钟不让膜干燥。然后膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。实验结果在表7列出。
            表7
   60min共沉积膜的表征结果
    厚度(埃)     10,500
    折射指数     1.11
    疏水性(接触角)     >80°
                        实施例8
这个实施例用实验说明通过共沉积作用沉积的催化多纳米孔隙二氧化硅母体可在洁净室的环境湿度下老化生成低密度均匀的薄膜。在这个实施例中2-(2-氨基乙氨基)乙醇被用作催化剂源。
母体合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到-80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释21.6重量%以减少粘度。催化剂使用2-(2-氨基乙氨基)乙醇和,它在乙醇中稀释8.75重量%以减少粘度并增加凝胶化时间。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积后让该溶液扩散15秒钟穿过晶片,然后以2500rpm的速度旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为34%,将该膜老化30min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷(HMDZ)的混合物,沉积20秒钟不让膜干燥。然后膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。实验结果在表8列出。
             表8
   30min共沉积膜的表征结果
    厚度(埃)     12,500
    折射指数     1.135
    疏水性(接触角)     >80°
                        实施例9
这个实施例用实验说明一种碱水混合物可和多纳米孔隙二氧化硅母体共沉积,然后在洁净室的环境湿度下老化生成低密度均匀的薄膜。一种烷氧基硅烷的合成是将150.0mL四乙氧基硅烷,75.0mL三甘醇单甲醚,12.1mL去离子水和0.48mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释50.0重量%以减少粘度。催化剂使用单乙醇胺,它在去离子水中稀释8.3重量%。然后该单乙醇胺/水溶液在乙醇中稀释60重量%以减少粘度。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在一个筒、催化剂在另一个筒中。2.52mL母体和0.5mL催化剂/水混合物在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上.沉积后晶片以2500rpm的速度旋转30秒钟。然后晶片放进老化箱,其中氮气以2l/min的速度流过水扩散器进入老化箱,在老化箱中产生75%的相对湿度。晶片留在老化箱中10分钟。然后膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷的混合物,沉积20秒钟不让膜干燥。然后膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。膜的厚度为9000(),及折射指数为1.1。
                        实施例10
这个实施例用实验说明水可和多纳米孔隙二氧化硅母体共沉积,然后在洁净室的环境湿度下老化生成低密度均匀的薄膜。
母体合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释21.6重量%以减少粘度。
使用去离子水水解乙酸基,形成乙酸催化凝胶化,它在乙醇中稀释8重量%以减少粘度。
使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在一个筒、及水/乙醇混合物在另一个筒中。1mL母体和0.346mL催化剂在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积后晶片以2500rpm的速度旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为35%,将该膜老化15min。
然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷的混合物,沉积20秒钟不让膜干燥。然后膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。
                         实施例11
这个实施例用实验说明水、催化剂和多纳米孔隙二氧化硅可以同时共沉积,然后老化生成低密度薄膜。母体的合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释21.6重量%以减少粘度。
催化剂使用单乙醇胺,它在乙醇中稀释8.75重量%。还使用水,它也在乙醇中稀释8.75%以减少粘度。
使用在图3中看到的注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂和水在较小的筒中。1mL母体和0.346mL催化剂及0.173mL水在10mL/min的速度下以90°角接触形成一个物流同时沉积到基质上。沉积后晶片以2500rpm的速度旋转30秒钟。将膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为35%,将该膜老化15min。然后膜进行溶剂交换,在250rpm转速下沉积20~30mL50/50(体积比)的丙酮和六甲基二硅氮烷的混合物,沉积20秒钟不让膜干燥。然后膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度175℃和320℃各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。
                        实施例12
这个实施例用实验说明通过共沉积作用沉积的催化多纳米孔隙二氧化硅母体可在洁净室的环境湿度下老化生成低密度均匀的薄膜。母体合成是将104.0mL四乙氧基硅烷,47.0mL三甘醇单甲醚,8.4mL去离子水和0.34mL 1N的硝酸一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时形成一种溶液。让溶液冷却后用乙醇稀释21.6重量%以减少粘度。
所用催化剂是单乙醇胺,它在乙醇中稀释8.75重量%以减少粘度并增加凝胶化时间。使用在图2中看到的双注射泵,用5mL和20mL注射筒进行沉积。母体在较大的筒、催化剂在较小的筒中。1mL母体和0.346mL催化剂在10mL/min的速度下同时在一个密闭箱中沉积,该密闭箱中有水扩散器调节湿度到50%。各物流以90°角接触形成一个在基质上的物流。沉积后晶片以2500rpm旋转30秒钟。所得膜放入洁净室环境湿度下的晶片载筒中,湿度被设定为35%,将该膜老化15min。然后上述膜进行溶剂交换,在250rpm转速下沉积20~30mL老化(36小时)过的50/50(体积比)的丙酮和六甲基二硅氮烷的混合物,沉积20秒钟不让膜干燥。膜在1000rpm转速下旋转干燥5秒钟。所得膜在空气中在升高的温度l75℃和320℃下各加热1分钟。用椭圆光度法测定折光指数及厚度对膜进行表征。另外,将一水滴放在膜上测定接触角来测试疏水性。
从上述实施例可以看出,本发明能够在基质表面上生产高质量的多纳米孔隙二氧化硅膜。

Claims (26)

1.一种在基质上的形成多纳米孔隙电介质涂层的方法,它包括:
A)实施无论(i)或(ii):
i)a)将一种烷氧基硅烷组合物物流(3)和含有催化剂组合物的碱物流(1)结合,在各单独物流的汇合点形成游离的混合组合物物流(9);及无论按次序或同时进行步骤(b)和(c);
b)立即将该混合组合物物流(9)沉积到基质表面(8)上;
c)将混合组合物与水接触;及
ii)a)将一种烷氧基硅烷组合物物流(3)和水流(2)结合,在各单独物流的汇合点形成游离的混合组合物物流(9);及
b)立即将该混合组合物物流沉积到基质表面(8)上;
B)固化所述的混合组合物。
2.权利要求1的方法,其中烷氧基硅烷组合物含有至少一种有机溶剂。
3.权利要求1的方法,其中烷氧基硅烷组合物含有至少一种高挥发性有机溶剂和至少一种低挥发性有机溶剂。
4.权利要求1的方法,其中烷氧基硅烷组合物含有选自醇类、多元醇类及其混合物的至少一种有机溶剂。
5.权利要求1的方法,其中烷氧基硅烷组合物含有至少一种沸点为120℃或以下的高挥发性有机溶剂和至少一种沸点为175℃或以上的低挥发性有机溶剂。
6.权利要求1的方法,其中烷氧基硅烷组合物包括具有下列化学式的一种组分:
Figure C9981276200021
其中2个R基团是独立的C1~C4烷氧基,而其余的R基团是独立的C1~C4烷氧基、氢、烷基、苯基、卤素或取代苯基。
7.权利要求6的方法,其中各R是甲氧基、乙氧基、丙氧基和丁氧基。
8.权利要求1的方法,其中烷氧基硅烷组合物包括选自四乙氧基硅烷和四甲氧基硅烷的一种或多种组分。
9.权利要求1的方法,其中含催化剂组合物的碱包含水、至少一种有机溶剂、或水及至少一种有机溶剂两者。
10.权利要求9的方法,其中含催化剂组合物的碱包括选自醇类、多元醇类及其混合物的至少一种有机溶剂组分。
11.权利要求1的方法,其中含催化剂组合物的碱含有氨、一种胺及它们的混合物。
12.权利要求11的方法,其中含催化剂组合物的碱含有选自醇胺和烷基胺的至少一种组分。
13.权利要求11的方法,其中含催化剂组合物的碱含有选自如下的至少一种组分:甲胺,二甲胺,三甲胺,正丁胺,正丙胺,氢氧化四甲胺,哌啶,2-甲氧基乙胺,单-、双-或三-乙醇胺,和单-、双-或三-异丙醇胺。
14.权利要求1的方法,其中基质包括至少一种半导体材料。
15.权利要求14的方法,其中半导体材料选自砷化镓、硅及含硅的组合物,该组合物所含的硅如结晶硅、聚硅、无定形硅、外延硅和二氧化硅,及它们的混合物。
16.权利要求1的方法,其中基质在其表面上有线路图式。
17.权利要求16的方法,其中线路包含金属、氧化物、氮化物或氧氮化物。
18.权利要求16的方法,其中线路包含一种材料选自二氧化硅、氮化硅、氮化钛、氮化钽、铝、铝合金、铜、铜合金、钽、钨和氧氮化硅。
19.权利要求1的方法,其中水以水蒸气的形式应用于混合组合物物流。
20.权利要求1的方法,其中将烷氧基硅烷组合物物流和含催化剂组合物的碱物流结合是在含水蒸气(13)的气氛中进行的。
21.权利要求1的方法,其中步骤i)c)是在混合组合物沉积到基质(8)表面上之前,通过控制进入混合组合物的含水物流(2)来实施的。
22.权利要求1的方法,其中混合组合物是通过加热固化的。
23.权利要求1的方法,它进一步包括,将多纳米孔隙电介质涂层与表面改性试剂接触使该多纳米孔隙电介质涂层变成疏水的后续步骤。
24.权利要求23的方法,其中表面改性试剂包括六甲基二硅氮烷。
25.权利要求1的方法,其中烷氧基硅烷组合物含有选自如下的至少一种有机溶剂:甲醇、乙醇、正丙醇、异丙醇、正丁醇、乙二醇、1,4-丁二醇、1,5-戊二醇、1,2,4-丁三醇、1,2,3-丁三醇、2-甲基-丙三醇、2-(羟甲基)-1,3-丙二醇、1,4,1,4-丁二醇、2-甲基-1,3-丙二醇、四甘醇、三甘醇单甲醚、甘油及它们的混合物。
26.权利要求9的方法,其中含催化剂组合物的碱包括选自如下的至少一种有机溶剂组分:甲醇、乙醇、正丙醇、异丙醇、正丁醇、乙二醇、1,4-丁二醇、1,5-戊二醇、1,2,4-丁三醇、1,2,3-丁三醇、2-甲基-丙三醇、2-(羟甲基)-1,3-丙二醇、1,4,1,4-丁二醇、2-甲基-1,3-丙二醇、四甘醇、三甘醇单甲醚、甘油及它们的混合物。
CNB998127620A 1998-08-27 1999-08-13 通过混合物流沉积的多纳米孔隙二氧化硅 Expired - Fee Related CN1146963C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/140,855 1998-08-27
US09/140,855 US6037275A (en) 1998-08-27 1998-08-27 Nanoporous silica via combined stream deposition

Publications (2)

Publication Number Publication Date
CN1325541A CN1325541A (zh) 2001-12-05
CN1146963C true CN1146963C (zh) 2004-04-21

Family

ID=22493101

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998127620A Expired - Fee Related CN1146963C (zh) 1998-08-27 1999-08-13 通过混合物流沉积的多纳米孔隙二氧化硅

Country Status (8)

Country Link
US (1) US6037275A (zh)
EP (1) EP1110239A1 (zh)
JP (1) JP2002524848A (zh)
KR (1) KR20010073059A (zh)
CN (1) CN1146963C (zh)
AU (1) AU5397599A (zh)
TW (1) TW483068B (zh)
WO (1) WO2000013220A1 (zh)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6248168B1 (en) * 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
DE60138327D1 (de) * 2000-02-28 2009-05-28 Jsr Corp Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid
US7265062B2 (en) * 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US7029826B2 (en) * 2000-06-23 2006-04-18 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
US8042771B2 (en) 2007-05-25 2011-10-25 Karl F. Milde, Jr. Method and apparatus for treating fuel to temporarily reduce its combustibility
US7222821B2 (en) * 2001-11-21 2007-05-29 Matos Jeffrey A Method and apparatus for treating fuel to temporarily reduce its combustibility
US7381442B2 (en) * 2002-04-10 2008-06-03 Honeywell International Inc. Porogens for porous silica dielectric for integral circuit applications
AU2002309807A1 (en) * 2002-04-10 2003-10-27 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
JP2004161877A (ja) * 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
JP2004161876A (ja) * 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
JP3884699B2 (ja) * 2002-11-13 2007-02-21 信越化学工業株式会社 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
JP2004161875A (ja) * 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁間膜及び半導体装置
CN100537830C (zh) 2003-01-22 2009-09-09 霍尼韦尔国际公司 膜或薄层离子化沉积的设备和方法
CN1742363B (zh) * 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP2004269693A (ja) * 2003-03-10 2004-09-30 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物及びその製造方法、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP4139710B2 (ja) * 2003-03-10 2008-08-27 信越化学工業株式会社 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP2004292641A (ja) * 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP2004307692A (ja) * 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
JP2004307694A (ja) * 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
JP2004307693A (ja) * 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US8901268B2 (en) * 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP4657859B2 (ja) * 2005-09-09 2011-03-23 ローム株式会社 多孔質薄膜の製造方法、多孔質薄膜およびこれを用いた半導体装置
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US20080173541A1 (en) * 2007-01-22 2008-07-24 Eal Lee Target designs and related methods for reduced eddy currents, increased resistance and resistivity, and enhanced cooling
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US8702919B2 (en) * 2007-08-13 2014-04-22 Honeywell International Inc. Target designs and related methods for coupled target assemblies, methods of production and uses thereof
US20090111925A1 (en) * 2007-10-31 2009-04-30 Burnham Kikue S Thermal interface materials, methods of production and uses thereof
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
KR101105717B1 (ko) * 2009-08-19 2012-01-17 이지현 유도터널을 구비하는 가동보의 조명장치
JP5410207B2 (ja) * 2009-09-04 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜製造方法およびそれに用いるポリシラザン塗膜処理液
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN106277824A (zh) * 2015-05-25 2017-01-04 吉永新技有限公司 二氧化硅膜的制造方法
US11485066B2 (en) * 2018-12-17 2022-11-01 Palo Alto Research Center Incorporated Processes for formation of porous biologically compatible scaffold structures
US10822807B2 (en) 2019-02-18 2020-11-03 Royal Building Products (Usa) Inc. Assembly for improved insulation

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2161689A1 (de) * 1971-01-27 1972-08-03 OfFicine Meccaniche, Marini, Alfonsine, Ravenna (Italien) Verfahren zum gleichzeitigen Mischen und Aufbringen von Substanzen, insbesondere Bindern durch Sprühmischen
AU6650174A (en) * 1973-03-14 1975-09-11 John Thompson Spraying gels
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
JPS63136528A (ja) * 1986-11-27 1988-06-08 Mitsubishi Electric Corp 処理液塗布装置
US5094884A (en) * 1990-04-24 1992-03-10 Machine Technology, Inc. Method and apparatus for applying a layer of a fluid material on a semiconductor wafer
US5186745A (en) * 1991-02-04 1993-02-16 Motorola, Inc. Teos based spin-on-glass and processes for making and using the same
JPH05243140A (ja) * 1992-02-27 1993-09-21 Fujitsu Ltd 回転塗布装置及び回転塗布方法
US5315724A (en) * 1992-07-29 1994-05-31 Mark Trujillo Combination fire tool
SG130022A1 (en) * 1993-03-25 2007-03-20 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
US5646071A (en) * 1995-01-19 1997-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Equipment and method for applying a liquid layer
US5753305A (en) * 1995-11-16 1998-05-19 Texas Instruments Incorporated Rapid aging technique for aerogel thin films
EP0775669B1 (en) * 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric

Also Published As

Publication number Publication date
JP2002524848A (ja) 2002-08-06
AU5397599A (en) 2000-03-21
WO2000013220A1 (en) 2000-03-09
CN1325541A (zh) 2001-12-05
EP1110239A1 (en) 2001-06-27
KR20010073059A (ko) 2001-07-31
TW483068B (en) 2002-04-11
US6037275A (en) 2000-03-14

Similar Documents

Publication Publication Date Title
CN1146963C (zh) 通过混合物流沉积的多纳米孔隙二氧化硅
US6589889B2 (en) Contact planarization using nanoporous silica materials
CN1279588C (zh) 恢复电介质膜及电介质材料中疏水性的方法
CN1203152C (zh) 疏水材料
JP4125637B2 (ja) 低誘電率材料及びその製造方法
CN1695235A (zh) 多孔低介电常数材料的等离子固化方法
KR101285471B1 (ko) 저유전율 비정질 실리카계 피막 형성용 도포액 및 이 도포액으로부터 얻어지는 저유전율 비정질 실리카계 피막
CN1260763A (zh) 高pH值下制造纳米孔介电膜的方法
CN1325543A (zh) 硅烷基多纳米孔隙二氧化硅薄膜
JP2005322886A (ja) 溶剤を含有する低誘電体材料を調製するための組成物
EP1026213A1 (en) Coating fluid for forming low-permittivity silica-based coating film and substrate with low-permittivity coating film
US6610145B2 (en) Deposition of nanoporous silica films using a closed cup coater
CN1510723A (zh) 电子器件制造
JP2010123992A (ja) 低誘電率材料を調整するための組成物
CN1839468A (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
JP2002030249A (ja) 低誘電率シリカ系被膜形成用塗布液および低誘電率シリカ系被膜付基板
US6930393B2 (en) Composition for forming porous film, porous film and method for forming the same, interlayer insulator film, and semiconductor device
MXPA02002594A (es) Aparato y metodo mejorados para la planarizacion de circuitos integrados.
US7754003B2 (en) Coating composition and low dielectric siliceous material produced by using same
KR100671860B1 (ko) 규소 함유 잔류물을 기판으로부터 제거하기 위한 용매 및그 용매를 사용하여 규소 함유 잔류물을 기판으로부터 제거하는 방법
CN100522395C (zh) 用于从基片除去含硅残留物的方法
CN1501454A (zh) 多孔膜形成用组合物、多孔膜及其制造方法、层间绝缘膜和半导体装置
KR101830949B1 (ko) 발수성을 가지는 유무기 입자, 유무기 코팅액 및 유무기 코팅필름의 제조방법
CN1671772A (zh) 有机硅氧烷
CN1650372A (zh) 纳米多孔材料及其制备方法

Legal Events

Date Code Title Description
C06 Publication
C10 Entry into substantive examination
PB01 Publication
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee