CN1122116C - 单体喷射器和沉积室 - Google Patents

单体喷射器和沉积室 Download PDF

Info

Publication number
CN1122116C
CN1122116C CN98807203A CN98807203A CN1122116C CN 1122116 C CN1122116 C CN 1122116C CN 98807203 A CN98807203 A CN 98807203A CN 98807203 A CN98807203 A CN 98807203A CN 1122116 C CN1122116 C CN 1122116C
Authority
CN
China
Prior art keywords
injector
gas
gallery
narrow
exhaust
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN98807203A
Other languages
English (en)
Other versions
CN1265163A (zh
Inventor
亚当·Q·米勒
丹尼尔·M·多布金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SILICON VALLY GROUP HEAT SYSTEM Inc
Silicon Valley Group Thermal Systems LLC
Original Assignee
SILICON VALLY GROUP HEAT SYSTEM Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SILICON VALLY GROUP HEAT SYSTEM Inc filed Critical SILICON VALLY GROUP HEAT SYSTEM Inc
Publication of CN1265163A publication Critical patent/CN1265163A/zh
Application granted granted Critical
Publication of CN1122116C publication Critical patent/CN1122116C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45595Atmospheric CVD gas inlets with no enclosed reaction chamber

Abstract

喷射器(105)具有气体发放表面(114)、在体内形成用来接受气体的通道(117)、及在通道(117)和气体发放表面之间延伸的分配渠道或槽(118)。气体发放表面(114)含有倒圆的侧边区和中央的凹进区。喷射器可包括第二通道(156)及在体内形成并在第二通道(156)和气体发放表面(114)的倒圆的侧边区之间延伸的分配渠道或槽(157)用来发放腐蚀剂。沉积室(155)包括至少一个喷射器(105)、多个排气块(106)、和一个位在喷射器(105)和排气块(106)之下的支座(122),在它们之间形成沉积区。排气块(106)位在喷射器(105)每一侧的邻近并与它间隔开,从而在其间形成排气渠道(107)。

Description

单体喷射器和沉积室
与有关申请的相互参照
本申请为1997.07.14立案的申请序号08/892,469的后续部;后者又是1996.03.22立案的申请序号08/892,469,及1997.11.04颁发的现有美国专利5,683,516号的后续部;而后者又是1994.07.18立案(现废弃)的序号为08/276,815的案卷后续的申请,其内容在本文被引用供参考。与本申请同时立案的美国专利申请序号__在本文被全面引用供参考。本发明的简述
本发明涉及一种将气体发放到一表面和一沉积室以便加工基片的喷射器。更具体点说,本发明涉及一种单体喷射器和一个具有制成一体的单体喷射器的沉积室以便用化学汽相沉积法(CVD)加工半导体基片。本发明还涉及一种制造单体喷射器和沉积室的方法。
本发明的背景
化学汽相沉积法(CVD)为半导体制造中的一个重要部分。CVD发生在某些气态化学品由于热反应或分解而成为稳定的化合物并且这些化合物被沉积在基片的表面上时。CVD系统有多种形式。这种方法用的一种设备具有一个设有传送带的大气压CVD(APCVD)系统,该系统在美国专利4,834,020号中有说明。该专利为本受让人所持有,在本文被引用供参考。其他可使用的CVD设备有等离子促进CVD(PECVD)系统和低压CVD(LPCVD)系统。
CVD系统的重要构件包括发生沉积的沉积室和用来将气态化学品发放到基片表面上的喷射器。必须将气体分布在基片上,使气体起反应并在基片表面上沉积出一层能被接受的薄膜。必须仔细地设计沉积室以资提供一个受控环境使沉积能在其内进行。例如,该室必须对气体设置界限,但要减少气体的再循环,因为再循环能使气体预先反应并沉积出不均匀的薄膜。该室必须设有排气设施以便消除多余的反应剂和反应副产品,但不能中断流向基片的反应用气体。另外,必须仔细控制该室和其构件的温度以便防止反应气体的凝结,减少副产品粉尘的积聚并使系统能够清洁。再者,沉积室在其整个使用过程内应较好地保持机械的完整性(如公差)。所有这些因素必须仔细地予以平衡以资为沉积提供适当的环境。
在这样一个沉积室内喷射器的功能是要在受控的方式下将气体分布到所需的位置。气体的受控分布,部分还由于气体预先混合和提前反应的减少,可使气体发生完全、有效和均匀反应的机会大为增加。而完全的反应有较多的机会可产生高质量的薄膜。如果气流没有被控制,那么化学反应将不会完善,结果将会是一个成分不均匀的薄膜,致使半导体的正当功能受到损害。因此对喷射器的设计,重要的是,须使气体容易以受控的方式进行所需的流动。
在本受让人持有并在美国专利5,136,975号中说明的现有技术的喷射器中,采用多块层叠的板,每一块板包括多个直线孔列。这些板形成多个瀑落式的孔列,而在最后一个孔列的下面设有一个被冷却板包围着的流槽。该流槽包括一条中央通道和多个在流槽和冷却板之间形成的管道。化学品管线将气体发放到顶板上,顶板分散地将气体传送到各个瀑落式孔列的顶部,使气体通过这些瀑落式孔列而被输送,这样气体可流动得更为均匀。流槽通道逐个接受气体并将气体输送到晶片上面的区域内。在这区域内,气体混合并起反应,从而在晶片上形成一层薄膜。
上述瀑落作用可提供均匀分布的气流。但流动控制和喷射器设计的简化还可改进。另外,可以考虑将喷射器与沉积室制成一体。通常在现有技术的系统中,喷射器是被插置到沉积室内的并用一分开的框架来密封。排气和清除装置及温度控制系统这些机械构件还要添加到沉积室上。所有这些构件给设计带来机械上的复杂性。此外,要配合各该构件进行密封会使构件表面更难进行温度控制,并且由于各该构件暴露在腐蚀环境下而被损耗,致使系统的维修费用和停机时间都会增加。因此需要有一种能减少上述这些问题的沉积室。
本发明的目的和综述
本发明的一个目的是要提供一种改进的沉积室以便用来加工半导体基片。
本发明另一个目的是要提供一种改进的喷射器以便用来以受控的方式将气态化学品发放到一个表面上,从而实现化学汽相沉积法(CVD)将多层薄膜沉积在该表面上。
本发明还有一个目的是要提供一种将喷射器制成一体的沉积室。
本发明另一个目的是要提供一种由单块材料制出的喷射器,从而可消除需要精密对准和定位的复杂机加工零件。
本发明还有一个目的是要提供一种没有内部密封的喷射器,从而可减少维修和相关费用。
本发明另一个目的是要提供一种构件和密封的数目都可减少的沉积室,从而可减少维修和停机费用。
本发明还有一个目的是要提供一种喷射器和排气系统,其中向反应气体暴露的所有表面的温度都能准确地加以控制。
本发明的一个相关目的是要提供一种沉积室和喷射器,它能提高薄膜沉积在晶片上的均匀性。
这些和其他一些目的本文所公开的喷射器都可达到。该喷射器具有一个狭长件和两个端面,并有至少一个沿着该件的长度延伸的气体发放表面,在该件内部,制有多条狭长通道。在这些狭长通道和气体发放表面之间还制有多条细小的分配渠道或槽。在本发明另一个实施例中,还可有多个调节管插入到每一条狭长通道内,插入时与所说通道之壁间隔开并在两个端头之间延伸。调节管可含有形状和尺寸可变的孔眼,并且孔眼可朝向背离分配渠道的方向。调节管接受沿着调节管输送的气态化学品,从而使气体从孔眼流出,通过相应的分配渠道而被输送,并以基本上受控的方式沿着气体发放表面的长度方向被导引。当使用多种气体时,分配渠道将分配来的这些气体导向到一个适宜将这些气体混合的区域内。这样由于防止气体间过早发生化学反应,分配渠道还可防止喷射器被化学品堵塞。这些气体被导向到一个适宜的区域内,在那里它们混合、起化学反应并在位在喷射器下面的基片上形成一层均匀的薄膜。
在一可替代的实施例中,所提供的喷射器还含有一条用来接受腐蚀剂的狭长通道。该腐蚀剂通过至少一条在狭长通道和气体发放表面之间延伸的分配渠道或槽被输送到气体发放表面上。腐蚀剂在沿着气体发放表面散布时,在沉积室内的气体发放表面和其他表面上沉积的材料便可被除去。
在另一个可替代的实施例中,所提供的喷射器具有一个狭长件和两个端面,并有至少一个沿着该件的长度延伸的气体发放表面,在该件内部制有多条用来接受气体的第一狭长通道。气体发放表面具有两个转角倒圆的侧边区和一个中央凹进区。在这些第一狭长通道和气体发放表面的中央凹进区之间还制有多条细小的分配渠道。在另一个实施例中,该喷射器还包括在其内形成的、用来接受腐蚀剂的至少一条第二狭长通道。腐蚀剂通过至少一条在第二狭长通道和气体发放表面的其中一个倒圆侧边区之间延伸的细小分配渠道而被输送。如上所述,还可有多个调节管插入到每一条狭长通道内,插入时与所说通道之壁间隔开并在两个端面之间延伸。新实施例
本发明还提供一种具有特殊优点的、创新的沉积室。该沉积室包括一个喷射器,构成该喷射器的构件为:一个单件,其上有两个端面和至少一个沿着喷射器的长度延伸用来将气体发放到基片上的气体发放表面;多个排气块,每个排气块都有两个端面和至少一个沿着排气块的长度而延伸的外部表面;及一个位在喷射器和排气块之下用来在其间造成一个沉积区的支座。排气块位在喷射器两侧的附近,并与喷射器间隔开,从而在其间形成排气渠道以便用来去除气体。
在一可替代的实施例中,所提供的沉积室由多个喷射器和多个排气块构成。
附图的简要说明
在阅读下面结合附图对本发明所作的详细说明后还可对本发明其他目的和优点有清楚的了解。
图1为按照本发明的一个实施例的喷射器的侧立视图。
图2为喷射器的一个实施例在图1中沿2-2线切开的横向剖视图。
图3为按照本发明第二实施例的喷射器的横向剖视图。
图4为按照本发明第三实施例的喷射器的横向剖视图。
图5为按照本发明第四实施例的喷射器的横向剖视图。
图6为在图4和5中示出的喷射器的调节管的横向剖视图。
图7为在图4、5和6中示出的喷射器的调节管的孔眼样式一个实施例的顶视平面图。
图8为在图4、5和6中示出的喷射器的调节管的另一个孔眼样式的顶视平面图。
图9为在图4、5和6中示出的喷射器的调节管的槽形开口样式的顶视平面图。
图10为在图4、5和6中示出的喷射器的调节管的另一个可替代的孔眼样式的顶视平面图。
图11为在图4、5和6中示出的喷射器的调节管的还有一个可替代的孔眼样式的顶视平面图。
图12为将调节管的突缘连结到喷射器上的放大的部分侧视图。
图13为按照本发明的一个可替代实施例的、采用腐蚀剂发放通道的喷射器的横向剖视图。
图14为按照与图13类似的、另一个实施例的喷射器的横向剖视图。
图15a、15b和15c为按照本发明的还有一个实施例的喷射器的横向剖视图,其中包括一个具有倒圆侧边区和中央凹进区的气体发放表面。
图16a、16b为按照与图15a、15b类似的另一个实施例的喷射器的横向剖视图,其中包括用来发放腐蚀剂的通道。
图17为按照本发明一个实施例的化学汽相沉积设备的横向剖视图。
图18为按照本发明一个可替代实施例的、具有制成一体的喷射器的沉积室的放大的横向剖视图,该沉积室由一个单体喷射器和两个排气块构成。
图19为按照本发明另一个实施例的、具有多个喷射器和多个排气块的沉积室的放大的横向剖视图。
本发明的详细说明
参阅附图,其中类似的构件由类似的标号指出。图1和2所示为本发明的喷射器的一个实施例。喷射器10具有一个单件或块体,包括前面11、背面12、顶面9、底面14和两个端面15。在本发明的这个实施例中,底面14为气体发放表面。位在喷射器10之下为一基片16。
喷射器10包括在其内制出并在两个端面15之间延伸的第一狭长通道17,其中一个端面15被封闭。化学品管线13导向狭长通道17的另一端。另外,在喷射器10内还制有在狭长通道17和气体发放表面14之间延伸的分配渠道或槽18。从沿着喷射器的长度看去可以看到分配渠道18在基片16的横向上延伸。在本实施例中,在喷射器10内还制有第二狭长通道19供液体或气体循环之用以资控制喷射器10的温度。
在CVD过程中,含有要沉积元素的气体通过化学品管线13被引入而沿着通道17流动,并沿着细小的分配渠道18从这个通道17流到气体发放表面14。气体从分配渠道18流出并沿着气体发放表面的长度离开喷射器10,从而气体被发放到基片上一般如图2中的箭头所示。气体以基本上受控的直线方式被喷射器分布在基片上。虽然曾说明单件10为一长方形块,但它可采用任何形状。气体发放表面的外形可适当设计以资促进气体的分布。
在许多用途中必须有数种气体共同作用才能在基片上沉积具有适当成分的薄膜层。在这种情况下设有多条通道如图3所示,这是本发明的第二实施例。这时喷射器10含有多条在两个端面15之间延伸的第一狭长通道17。化学品发放管线13被连接到每一条通道17上。在喷射器10内还制有多条互相间隔开的分配渠道或槽18。每一分配渠道18都在一条分开的第一狭长通道17和气体发放表面14之间延伸。气体进入通道17并通过分配渠道18被输送到气体发放表面14上,在那里这些气体沿着气体发放表面的长度混合并在基片16上沉积出膜层。为了促进气体的分布,分配渠道18将气流导向基片16附近所需的区域,然后使气体沿着气体发放表面14离开。另外,分配渠道18由于导引气体从气体发放表面上离开,可防止化学品在这表面上过早作化学反应,从而可防止喷射器10被化学品堵塞。这样,各种气体便可按基本上为直线运动的方式各自分布到所需的区域,在那里它们有机会互相混合、作化学反应并将膜层沉积在基片16上。喷射器10的温度控制可用狭长通道19来完成。
在本实施例中,设有定位脊21用来将喷射器10定位在CVD室内。该定位脊21被设置在分配渠道18的外侧,垂直地从气体发放表面14上伸出并沿着该表面的长度布置。虽然定位脊21曾被说明为设在气体发放表面14上,但它也可被设置在单件10的其他表面上。
在应用CVD时最好能使引入到过程内的气体的流量和浓度保持被控制。可设置调节管22来使流量和浓度保持被控制。调节管22还能提供对气体外廓的控制。在某些情况下最好能提供规定的气流外廓来抵消CVD反应区内各种变数的影响,这些变数能引起气体的不完全反应和成分不均匀的薄膜。例如,可能需要将体积较大的气体导向基片16的具体区域。图4示出的本发明的第三实施例所提供的含有孔眼23的调节管22被插入到第一狭长通道17内。调节管22与通道17之壁间隔开并在两个端面15之间延伸。在喷射器10内制有在狭长通道17和气体发放表面14之间延伸的分配渠道18。在本实施例的一个变型中,调节管22设有孔眼23  图4所示。在本实施例的另一个变型中,调节管22由多孔材料制成,调节管22并不设置孔眼。
调节管22从化学品管线13中接受气体,并沿着狭长通道17分配气体,然后气体流动通过分配渠道18来到气体发放表面14并逸出到基片16上。
图5示出本发明的第四实施例。在喷射器10内制有多条在两个端面15之间延伸的第一狭长通道17,并制有多条在一条分开的第一狭长通道17和气体发放表面14之间延伸而互相间隔开的分配渠道18。而且至少还有一个含有孔眼23的调节管22被插置在至少一条第一狭长通道17内。调节管22与通道17之壁间隔开并在两个端面15之间延伸。在这实施例的一个变型中,多条第一狭长通道17中的每一条都可插入一个分开的调节管22。而化学品管线13被连接到每一个调节管22上。
再次参阅图5,可见设有用来将喷射器10定位在CVD室内的定位脊21。该定位脊21设在分配渠道18的外侧,沿着气体发放表面14的长度布置并从气体发放表面上垂直地伸出。温度控制可由第二狭长通道19完成。而定位脊21则提供机构可用来将喷射器定位在CVD室内。
这样,在第四实施例中,化学品发放管线13被连接到相应的调节管22上或连接到调节管22和第一狭长通道17的组合件上,而将气态化学品输送到那里。气体流动通过调节管22并进入到周围的第一狭长通道17内,然后通过相应的分配渠道18被输送到气体发放表面14上使它沿着该表面的长度流动。分配渠道18由于将气流分别导向基片16附近所需的区域可促进气体的分配。调节管22可被用来调节一种或多种具体气流的外廓,以资在基片附近的所需区域内发放浓度可变的气体,从而可控制在CVD室内发生的化学反应的速率。这样便可在基片16上沉积出比较均匀的薄膜。
为了调节气流的样式,调节管22可被制成多种变型。对具有孔眼23的调节管22来说,孔眼23可以背离分配渠道18,也可朝向分配渠道18。在较优实施例中,孔眼23的方向与分配渠道18相反。参阅图6到10可较完全地知道调节管22上各种孔眼的形状。
图6画出具有孔眼23的调节管22的横剖面。气体通过调节管22输入并通过孔眼23输出。孔眼23的形状控制着出口气流的外廓。
图7到10示出本发明所设想的各种孔眼的形状以便用来将气流调节到所需的样式。参阅图7,孔眼23为多个沿着调节管22的长度延伸而排成一列的孔。在该实施例中,各孔24的直径都相等,沿着该管长度的各孔相互间的间距也都相等。
另一种孔眼样式在图8中示出。其时孔眼23为多个沿着调节管22的长度延伸而排成一列的槽,这些槽具有相等的尺寸和沿着该管22的相等的间隔。
另一个可替代的孔眼样式在图9中画出,为一条沿着调节管22的长度延伸的连续的槽26。
还有一个可替代的孔眼形状在图10中示出。该孔眼为多个沿着调节管长度排列的孔眼,其尺寸、或节距、或两者都可变化,孔眼可以是圆孔或槽。其中一个例子如在调节管22两端的孔眼都是从小尺寸开始,而在朝向调节管22的中央互相靠近时尺寸逐渐增大。较大的孔眼可使气体具有较大的体积流率,因此出口气流的样式能被控制。
此外还有一个孔眼形状在图11中示出。该孔眼为多个沿着调节管22的长度排列的、具有相同尺寸和节距的孔眼。但在靠近调节管22中心的地方又添加了一些孔眼29,使气体从调节管22的中央出来的体积流率较大。
最后,图12为一个连结机构和喷射器的调节管的放大的部分侧视图,从该图可较完整地看到设在调节管22和化学品发放管线13之间的连结机构。调节管22被插入到第一狭长通道17内并在两个端面15之间延伸。突缘30被连结到化学品发放管线13上,然后被连结到喷射器10的端面15上,其间设有密封圈。而调节管22连结在突缘上,设有气密性密封。
在CVD过程中有许多种化学品可用。本发明设有各种化学品的发放管线。在有一个实施例中,该化学品发放管线13可在一条管线中输送四乙氧硅烷(TEOS)和氦的组合物,在第二条管线中输送氮,而在第三条管线中输送臭氧和氧的混合物,以资制出二氧化硅层。
如上所述,可有多种变型来实施本发明。该较优实施例具有五个第一狭长通道,有五个调节管插置其内。尺寸也都可以变化,但在该较优实施例内,每一通道的直径约为3/8英寸,而每一调节管的外直径约为1/4英寸。调节管沿着其长度含有五十个等间距且等大的孔。
本行业已知的各种制造技术都可用来制出分配渠道18。在该较优实施例中,分配渠道是用线电极放电加工机(EDM)制出的。
在本发明的一个可替代的实施例中,至少设有一条添加的通道以便用来将腐蚀剂送到气体发放表面上并由此跑出到与晶片邻近的区域内。腐蚀剂的特殊优点是能够用来消除任何一种堆积在喷射器及其周围其他区域的表面上的反应沉积物,这些沉积物是在晶片加工过程中积聚起来的。现在请参阅图13示出的实施例,其中的喷射器100为一个单件,该单件具有前面、后面(未示出)、顶面109、两个端面115、和一个底面的气体发放表面114。而基片116位在喷射器100之下。
在喷射器100内制有一条在两个端面115之间延伸的第一狭长通道117,还制有一条在狭长通道117和气体发放表面114之间延伸的分配渠道118。沿着喷射器的长度看去将可看到分配渠道118在横越基片116的方向上延伸。如上所述,当气体从化学品发放管线流到通道117内后,就沿着通道117流动,然后沿着细小的分配渠道118流到气体发放表面114上,接着流出分配渠道118,沿着气体发放表面114的长度离开喷射器100,从而以基本上受控的方式发放到基片上。在喷射器100内还可设有另一条通道119以便用来循环液体或气体借以控制喷射器100的温度。如上所述,还可将一个带有孔眼123的调节管123插置在狭长通道117内。
在沉积过程中,反应剂和反应副产品趋向于积聚在喷射器的外部表面上以及CVD系统内的其他表面上。当这种沉积物堆积起来时,它们能阻碍喷射器的工作并为最后到达沉积膜上的污染剂的根源。本发明这个实施例的特殊优点是引入可清除这种沉积物的腐蚀剂。具体点说,在喷射器100内至少有一条在两个端面115之间延伸的狭长通道135,还制有从该狭长通道135延伸到气体发放表面114的分配槽137。这个示范实施例在图中示出两条通道135,但应知道一条或多条通道135都可使用。狭长通道135用来接受腐蚀剂如氢氟酸(HF)等。腐蚀剂流到通道135内并通过分配槽137被输送到气体发放表面114上。腐蚀剂与气体发放表面114接触而起腐蚀作用,从而可消除沿着表面114积聚的沉积物。对这清洁过程来说重要的是要控制好喷射器表面的温度。为了使表面的温度得到良好的控制,采用了冷却通道119并在所提清洁过程中让冷却剂在其内循环。最好,腐蚀剂在沉积过程进行之前或之后被引入。或者,腐蚀剂可在沉积过程中被输入以资减少沉积物的积聚。
图14示出本发明的一个可替代的实施例,其中采用多条狭长通道117来发放多种气体。另有多条狭长通道135和分配槽137将腐蚀剂发放到气体发放表面114上。如上所述,在一条或多条狭长通道117内可插置调节管以资用来控制气体的分布外廓。
本发明另一个实施例在图15a到15b中示出。如上所述,喷射器100包括至少一条狭长通道117和分配槽118,但在本例中的气体发放表面114却不同。本例的气体发放表面114一般包括至少一个、最好为两个转角倒圆的侧边区140和一个中央的凹进区142。最好,分配槽118从每一条各该狭长通道117延伸到气体发放表面114的中央凹进区142。气体流入到各条通道117内并通过各个分配槽118发放到气体发放表面114的中央凹进区142,然后以基本上受控的方式沿着气体发放表面分布,在该区域内这些气体起化学反应并形成一层材料沉积在放置在气体发放表面114下面的基片116的表面上。为了控制喷射器的温度,设有狭长通道119以便接受冷却介质,而在喷射器100内制出的热电偶#143可被用来测量温度。
在图15b中,有一调节管122被插入到狭长通道117中的至少一条狭长通道内并在两个端面115之间延伸。该调节管122与通道117之壁间隔开并含有背离分配槽118的孔眼123。如上所述,该孔眼123可具有各种样式如图6到11所示。在这个实施例的一个变型中,分开的调节管122可被插入到多条第一狭长通道117中的每一条通道内,而化学品发放管线(未示出)被连接到每一个调节管122上以便用来引入气体。
图15c示出这个实施例的另一变型。如图所示,该气体发放表面114只包括一个倒圆的侧边区。气体发放表面114的其余部分基本上为一平面,没有像图15a和15b那样的凹进部分。
本发明另一个可替代的实施例在图16a中示出。如图所示,喷射器100包括多条第一狭长通道117以便接受多种气体。这些通道都在两个端面115之间延伸并各有化学品发放管线(未示出)连结着以便分开输送气体。在喷射器100内还有多条互相间隔开的分配渠道或槽118,分别在各分开的第一狭长通道和气体发放表面114之间延伸。气体发放表面114含有两个角上倒圆的侧边区域,其他部分基本上为一平面。气体进入通道117并通过分配渠道118输送到气体发放表面114上,在那里这些气体沿着表面的长度均匀地混合并在基片116上敷上一层薄膜。
为了消除喷射器100的表面上的沉积物,在喷射器100内还制有在两个端面115之间延伸的第二狭长通道,以及在第二狭长通道135和气体发放表面114的倒圆侧边区之间延伸的分配槽137。在示范的图示中,分配槽137与气体发放表面直交在倒圆侧边区140的开始处,并与喷射器100的法线平面成一角度。该角度可按腐蚀剂所需发放点来改变。这种构造形式可促使腐蚀剂分布到喷射器上沉积物一般最多的一侧。或者,分配槽137可与气体发放表面的平面部相交。
本实施例的一个变型在图16b中示出,该变型为较优的实施例,其中气体发放表面114含有两个倒圆的侧边区140和一个中央的凹进区142。有多个分配槽118分别在各该第一狭长通道117和中央凹进区142之间延伸。为了提供腐蚀剂,在喷射器100内还制有在两个端面115之间延伸的第二狭长通道135,以及最好在第二狭长通道135和气体发放表面114的倒圆侧边区之间延伸的分配槽137。本发明的另一优点是能调节腐蚀剂,从而控制腐蚀剂在外部表面上的分布。为了调节腐蚀剂,可将在两个端面115之间延伸的调节管122插入到至少一条第二狭长通道135内。调节管122与通道135之壁间隔开并含有背离分配槽137的孔眼123。如上所述,孔眼123可具有各种样式如图6到11所示。在这实施例的一个变型中,调节管122可插入到每一条第二狭长通道135内。化学品发放管线(未示出)被连结到每一个调节管122上以便引入腐蚀剂。
从上面的说明中,本行业的行家当可知道根据本发明的说明可以实现多种实施例。例如,喷射器采用的倒圆侧边区可带有或不带中央凹进区,采用或不采用调节管,在腐蚀剂通道内采用或不采用调节管,采用或不采用腐蚀剂通道,及任何一种上述情况的组合。新实施例
本发明接下来一个特殊优点是能提供一个具有整体制出的单体喷射器的改进的沉积室。参阅图17、18和19,可见沉积室155具有一个整体制出的喷射器组件160。该沉积室155通常为一较大的化学汽相沉积(CVD)设备200的一部分如图17所示。图17示出一种具有单一晶片往复设备的CVD设备200,该设备在与本发明同时申请并立案的美国专利申请序号__中有完整说明并在本文被引用供参考。虽然本发明将CVD设备的一个例子示出并予以说明,但本行业的行家应该知道其他型式的CVD设备也可与本发明一同使用。例如喷射器和沉积室可被用在本行业公知的设有传送带的CVD设备内,或者可与大气压式和亚大气压式的反应器一同使用。
现在回到图17,所示CVD设备包括一个主室210,该主室支承着沉积室155,该沉积室具有一个喷射器组件160用来将易起反应的(有时为惰性的)气态化学品喷射到沉积室155内的沉积区域内。喷射器组件160由一个或多个单独的喷射器或敷设器构成。在图17中,喷射器组件160具有三个喷射器105,形成三个沉积区,但喷射器组件160也可形成一个或任何数目的沉积区。每一沉积区124都由一个喷射器105和晶片或基片的表面116形成,这将在下面详述。晶片或基片116被放置在一支座122上,该支座122然后被一夹盘120支承着。晶片被移动通过喷射器的下面以便将薄膜沉积在横越晶片表面的方向上。最好,支座122为一“密封板”能将晶片116夹持在其内形成的凹腔内。晶片的顶表面与密封板的顶表面位在同一平面上,但密封板比晶片116大。
通过夹盘内的孔眼使晶片的下侧变成真空便可将支座122保持在夹盘120上,从而将晶片保持在位。夹盘120被支承在装在驱动组件218上的夹盘支承组件或平台216上,而驱动组件218被支承在主室210内。平台216被轨道导引以便进行直线运动。最好用水冷却平台以资保护传感器等并最大程序地减少热膨胀。平台216被驱动牵引车214的电动机222所驱动的导螺杆移动,驱动牵引车延伸通过真空密封。夹盘120和驱动组件218被支承在找平螺杆226上,该螺杆延伸通过室的下壁并与轴承接合。找平螺杆226被电动机228驱动,可使夹盘升、降和找平。主室210具有至少一条气体进入管线230用来将气体,最好是惰性气体输送到主室210。这条气体进入管线230可被用来造成向内流动到沉积室155内的气体,能够起到“向内流动清扫气”的作用。在下面要说明的合适的条件下,这种清扫气能将反应气体约束在沉积区域内,这样就可防止反应气体将灰尘不合适地沉积在主室内并侵蚀主室的构件。主室210的排气通过喷射器组件160进行,这将在下面详述。有一根排气汇流管158用通过壁的螺钉被连结在喷射器上。
在图18中进一步示出沉积室的细节。一般地说,沉积室155包括一个喷射器组件160和一个支承,该支承在本例为一夹盘120支承着一块基片116。为清晰起见,排气汇流管158未画出,最好,喷射器组件160由单块材料制成,其中制有一个或多个喷射器105和排气块106。排气块106位在喷射器105两侧的邻近并与喷射器105间隔开,以便在其间形成两条排气渠道107。在喷射器105的气体发放表面114和基片116之间形成一个沉积区域。一般地说,沉积区域124是狭长的长方形。
更具体点说,喷射器105为一单件并被制有光滑而弯曲的气体发放表面114,在一示范的实施例中,气体发放表面114包括两个倒圆的侧边区140和一个中央的凹进区。最好,喷射器105为早先说明过的在图16b中的那种喷射器100。其弯曲的气体发放表面114的精确尺寸可用本行业知晓的计算流体动力学技术(CFD)或用成比例的模型求得。最好,部分采用这种技术来求得尺寸以资尽可能减少气流在沉积室内的回流,这样便可控制反应气体的平均驻留时间。排气块106由单件构成,具有一个前面、一个后面(即侧面,其中一个侧面用标号121指出)、一个顶面、两个端面和一个在底上的外部表面150。
其特殊优点是每一喷射器105的气体发放表面114都被用作沉积室155的上部。沉积室155的下部则由支座122及/或放置在支座122上的基片116组成。沉积区域在气体发放表面114及基片116和支座122之间形成。
为了排除反应产物,采用排气渠道107,气体排放表面114和喷射器105的垂直边构成排气渠道107的内表面。排气渠道107的外表面则由排气块106的一个侧表面121构成。排气块106的侧表面121与喷射器105间隔开并面向喷射器,其形状须使流动通过排气渠道107的气体的不必要的滞留或分离降至最少。具体点说,排气块的侧表面121含有一个特殊成形的区域152或鼻部,该鼻部一般位在气体发放表面114的倒圆表面140的邻近并与它间隔开。这个特殊成形的区域152可用一个分开的“特形轮廓”或鼻部锒嵌件连结在排气块106上制出,或者可作为排气块106的整体部分制出。
最好,本发明能形成一个“半密封”区域153使它起到隔离每一个沉积区域的作用。具体点说,排气块106的外部表面150被放置在贴近基片116平面的地方借以形成半密封区域153。半密封区域153是一个区域,其高度将在下面说明,其长度一般为外部表面150的一部分。与通过入口230供应到周围主室210的气体的向内流动清扫结合,曾发现这个半密封区153能有效地将反应气体包含在沉积区124内。这个特点还有助于减少在沉积室155其他地方形成粉末和微粒的污染。更具体点说,向内流动清扫是由于通过气体入口230将气体喷射到主室210内以及在沉积室155内为系统设置通过排放渠道107的排放而造成的。这样造成气体流向沉积室并进入到喷射器组件160内(因此称为“向内”),从而起到向内流动清扫气的作用,因此有助于隔离沉积区。为了设置半密封区153,需要考虑在表面150和基片116之间的间距、及来自主室的清扫流率(即通过气体入口230喷射到主室210内的气体的流率)。最好,清扫流率被这样选定,使清扫速度“V清扫”保证比喷射器105所输出的气体142的流率小,但又要大到足够的程度使反应气体的特征的扩散长度小于半密封区153的长度。如图18所示,半密封区153被造成在外部表面150与基片116表面贴近而平行的区域内,在本例中即从外部表面150的外边到特形轮廓区152倒圆边的一段范围内。扩散长度“L扩散”由下式给出:
                    L扩散=D周围/V清扫其中D周围为反应气体在周围气体中的有效二元扩散系数。
现举例说明上述方程的应用:当二元扩散系数为0.2cm2/sec,而清扫速度为1cm/sec时,扩散长度将为2mm。这样,当半密封区的长度大于扩散长度时就可减少反应气体离开沉积区124的浓度。因此,在本例中,半密封区153的长度应被选定为大于2mm,例如一个1.0到1.5cm的长度将是合适的。
本发明人曾发现,当晶片或基片116的顶表面和气体发放表面114最接近部分(即气体发放表面的最下面的部分)之间的最小距离为5到6mm;喷射器的深度(即垂直于图面的尺寸)约为22-25cm;而来自喷射器105的总气流为10-20标准公升/分(slpm)时;半密封的间距“h”当等于或小于1.0mm,最好在约0.5到1.0mm的范围内。半密封间距“h”为从基片116的顶表面到排气块106的外部表面150的距离。另外,来自主室210的向内清扫气流最好在约2到4slpm的范围内。具体地说,本发明人曾发现,如果半密封间距“h”为3mm或更大,就会使将反应气体约束在沉积区124的密封无效。与此相反,采用较优的间距可得到如下的结果:在沉积室的外部区域内(即超越排气块106的边缘)没有可检测到的反应气体的泄漏,沉积反应受到清扫气流的干扰为最小,及能良好地控制在基片116上的沉积范围。沉积范围涉及沉积区124的面积,具体地说涉及沉积反应延伸越过特形轮廓边缘152并进入到半密封区153内的程序。重要的是沉积区124的边缘须能很好地控制并复制,以资保证沉积在基片116上的薄膜具有良好的均匀性和可复制性。如果沉积较多地延伸到半密封区153内,那么灰尘也会沉积在半密封区的表面150上,这样就会产生微粒,需要加以清除。因此,选定清扫气流和半密封区153的高度是有用的,它可使沉积区124只有两个相反的特形轮廓152的边缘之间所隔开的范围那样宽。
在沉积时,来自反应气体副产物的尘埃或薄膜将会沉积在沉积室155的暴露表面Q上。本发明人曾发现,采用充有流动冷却剂(如纯净水)的冷却通道119来控制这些表面的温度,可有助于大大减少发生在这些表面上的沉积量。大家都知道二氧化硅的汽相腐蚀在温度小于80到100℃时最易进行;因此,如果气体发放表面114和特形轮廓表面152用通道119来保持冷却,清除将最为有效。
为了进一步帮助去除沉积物,本发明采用腐蚀剂通道。在示范的实施例中,分别示有在喷射器105和排气块106内的腐蚀剂通道135和156。腐蚀剂通道135和156及伴同的分配槽157和140使人们有可能分发腐蚀剂气体如含水的或无水的HF(在沉积二氧化硅的情况下),该腐蚀剂可帮助去除沉积的副产物,而可不需拆卸进行沉积室155和喷射器组件160的机械清除。为此目的,人们可只选用腐蚀剂通道156和槽157,或者甚至采用气体通道117和槽118。
更具体点说,至少有一条腐蚀剂通道在喷射器105内制出并在两端之间延伸。至少有一个腐蚀剂分配槽137在喷射器105内制出并在腐蚀剂通道135和气体发放表面114之间延伸。腐蚀剂分配槽137可在倒圆的侧边区离开气体发放表面并可与气体发放表面114相交成各种角度,这取决于所需的腐蚀剂流向。换句话说,腐蚀剂分配槽137的取向是可改变的以便将腐蚀剂导向喷射器105和沉积室155上的某些表面。
最好,排气块106也采用至少一条腐蚀剂通道156和一个腐蚀剂分配槽157以资用来输送腐蚀剂。至少一条通道156是在排气块106内制出的并在其两端之间延伸。至少一个腐蚀剂分配槽157是在排气块106内制出的并在通道156和排气块的外表面150之间延伸。腐蚀剂分配槽157可在平面区域离开外部表面150,并与该表面相交成一角度使腐蚀剂导向半密封区153。或者,腐蚀剂分配槽157可延伸到特形轮廓区域152。而在另一个变型中,腐蚀剂分配槽157的设置是以垂直的方式离开外部表面150。
为了增加CVD系统的生产量,可将具有多个喷射器105的喷射器组件160连同相应的多个沉积区域124a、124b和124c并入到一个单一的大沉积室155内如图19所示。在这实施例中,具有多个喷射器的喷射器组件也被称为多头喷射器组件。最好,沉积室由一块材料制成,设有端盖(示未出)以便使沉积室密封。或者,沉积室155可由分开的单件制成然后连结在一起。在本例中多套喷射器105和排气块106(图中所示为三个喷射器和四个排气块)被互相贴近地放置,从而形成多条排气渠道107。这个示范的布置形成三个沉积区124a、124b和124c。其中两个沉积区124a和124c的特征为外部区域,而在中央的沉积区124b的特征为内部区域。因此,喷射器的表面和其他细部的特征与其相应的沉积区的名称一致同样有内外之分。在这种情况下,在外的外部表面150(即两个外部沉积区的在外的外部表面)形成对主室210的半密封。为了排除排气,在多个喷射器和排气块105和106的顶上装有排气汇流管158和排气出口管线150。在这示范图中示出时,喷射器中用来接受腐蚀剂的通道135被省略。如同该示范实施例所示,沉积室210是由一个喷射器组件160和一个晶片支座122组成的,其中喷射器组件含有三个喷射器105和四个排气块106。但本行业的行家应该知道上述喷射器和排气块也可采用其他数目。
当在基片表面上沉积薄膜时,最好沉积的是均匀的薄膜。支座122有助于做到这一点。具体点说,支座122最好为一密封板将基片载在其凹进部上使基片和密封板的顶表面在同一平面上。这样,密封板便成为基片表面116的延续而形成一个平表面,它与外部表面150一同形成半密封区153。另外,密封板使喷射器105能够延伸经过基片的边缘,这可促使均匀地覆盖在基片的边缘上。当要被覆盖的基片116为圆形时可以使用密封板式的支座122。但若基片为长方形时,那么必需使用密封板式的支座。
当采用多个喷射器105时,为了从所有的喷射器105上得到基本相同的效能,本发明人曾发现在喷射器105之间必须包括内部槽161,使气体,最好是惰性气体,可被配送到其内,从而造成“槽清扫”,以资在喷射器105的内部边缘上造成基本相同的气体向内流入,如同室清扫在喷射器105的外侧边缘及外部半密封区153a和153d上所造成的气体向内流入那样。“槽清扫”的气流可加调节以资在各喷射器之间给出基本相同的沉积厚度和广度。这样做可提供将内部喷射器隔离开来的“内部”半密封区153b和153c。这里,沉积广度同样涉及沉积区的面积,具体地说涉及沉积反应延伸经过特形轮廓边缘并深入半密封区153内的程度。内部槽161还可交替地用来配送如上所述的清除用气体以资用来从喷射器105和排气块106的表面上去除副产物。
最后,在另一个实施例中,如上所述的调节管可被用在喷射器105的通道117内和腐蚀剂通道135内。另外,调节管可被用在排气块106的腐蚀剂通道156和161内。这些调节管可被更换,这样当人们要改变气流的外廓时,只要拆卸一根调节管而将另一根具有不同孔眼设置或直径的调节管插入即可,不需要将整个喷射器体拆开。另外,通过模拟或实验可以制出专门的调节管供特殊用途或机械使用。
本发明还提供一种方法可用来制造喷射器组件和沉积室。最好,沉积室155的部分是用一整块材料和线极EDM方法制成。沉积室由两个主要构件组成,即喷射器组件和端盖。端盖被连结到喷射器组件上,同时端盖还给气体发放汇流管(未示出)提供一个连结点,由汇流管提供气体,通过喷射器105将这些气体输送到沉积区。如上所述,喷射器组件160含有一个或多个喷射器105。为清晰起见,含有单个喷射器的喷射器组件可称为单头喷射器,而含有多个喷射器的喷射器组件可称为多头喷射器。不管是单头或是多头,喷射器最好由单块材料制成。或者喷射器组件可由分开的零件制成,但这样做将使喷射器组件难以对准并装配。该成块材料最好由不锈钢304制成,但多种其他合金也可使用。为了制出喷射器组件,首先应将块材磨削成所需大小,然后通过块材长度钻出贯通孔以资形成狭长通道。该狭长通道最好用深孔钻钻出。然后块材被消除应力并加工到最终规定尺寸。接下来使该块材接受线极EDM过程以资制出块材内所有的喷射器气体发放槽和特形轮廓。线极EDM过程具有好几个重大特点:1)由于它在去除材料时不接触材料,因此能在块材的整个长度上切出极细的、公差严的槽;2)表面光洁,没有任何不希望有的金属毛刺;及3)在喷射器块体内只留下一些检测不到的应力。排气渠道的特形外廓也用EDM的线极切割,但这时排气渠道并不完全被割穿。而是将这些零件留着与块材连接,并将端盖与块材第一次连结,如下所述。
喷射器组件的端盖内藏调节管用的密封表面并且是所有气体发放汇流管的连接点。在制造要成为喷射器组件的块材时,端盖被加工到所需的形状和大小,接着被消除应力,然后被铜焊到喷射器组件的两端。制造喷射器组件的第二个重要的制造过程是将端盖连结在喷射器组件上。最好用镍基铜焊合金铜焊端盖,这样得到的连结能够:1)在喷射器气体通道之间造成一个气密的密封;2)造成一个可以机加工的表面而该表面能够用作密封表面;及3)提供一个耐腐蚀的多孔的自由连结,其耐腐蚀能力等于或优于不锈钢母体材料。这个过程基本上是将三个主要构件即两个端盖和喷射器组件熔焊成一件,因此造成一个完整的单体组件。
在端盖被铜焊到喷射器组件上以后进行机械加工以资确保所有密封表面平整并具有适当的表面光洁度。这个最后机加工的工步包括去除留在排气渠道内的材料。排气渠道的特形外廓是早先在线极EDM工步内形成的,现在将剩余材料去除便留下开通而具有特形的排气渠道。这时,喷射器组件成为一个完全可防泄漏的均匀块体。
气体发放汇流管应将气体均匀地分配到多头喷射器组件内。例如,可以使用这样一个汇流管,其中有一连串的叠置的板被机加工以资提供多个长度相等的气体通道,从而将进来的源气体相等地分配到各喷射器105内。例如,两个喷射器需要四个氮气入口,气体发放汇流管采用一个入口,然后将气体相等地分配到四个调节管内。重要的是在汇流管内形成的每一条歧管的长度须相等以资将气体均匀地分配到每一个调节管内。这多块板被铜焊成一堆叠置的板,从而形成一个紧凑的汇流管。气体发放汇流管可用金属C形环密封地连结到端盖上。
设计的简化有利于制出精密的构件,这样就能较大地控制气体的分布。以上指出的加工基片用的改进的喷射器和沉积室可促进上面列出的宗旨、优点和目的的实现。
虽然本发明已就具体实施例进行了说明,但对本行业的行家来说,在上述说明的启示下,显然能够作出许多变化、替代、更换和修改。因此,本说明理应包括所有这些变化、替换和修改,因为它们是在本发明的权利要求所提出的创意的范围内。

Claims (28)

1.一种用来将气体分布到基片上的喷射器,具有:
一个单一狭长件,该件有两个端面和一个狭长的外部气体发放平面,该发放平面设有两个倒圆的侧边区和一个中央的凹进区,所说气体发放表面沿着狭长件的长度延伸,直接面向基片,
在所说狭长件内形成的至少一条第一狭长通道在两个端面之间延伸用来接受一种气体;及
在所说单一狭长件内形成的至少一个第一细长分配槽,该槽直接在所说第一狭长通道和所说气体发放表面的中央凹进区之间延伸,用来从所说狭长通道直接运送气体使它沿着狭长的外部气体发放表面分布。
2.权利要求1的喷射器,其特征为:
所述狭长件包括在所说狭长件内形成的多条第一狭长通道;
在所说单一狭长件内形成的多个第一细长分配槽,每一个槽都从各自有关的第一狭长通道直接延伸到所说气体发放表面的中央凹进区,以资直接从各自有关的通道将气体运送到气体发放表面,从而使它沿着被放置在所说发放表面邻近的基片分布。
3.权利要求2的喷射器,还具有:
在所说狭长件内形成的并直接在两个端面之间延伸的多条第二狭长通道,用来接受腐蚀剂;
在所说单一狭长件内形成的多个第二细长分配槽,每一个槽都从各自有关的所说第二狭长通道直接延伸到气体发放表面以资将腐蚀剂从各自有关的通道运送到气体发放表面。
4.权利要求2的喷射器,还具有至少一个调节管,该管被插置在所说多条第一狭长通道内,与所说第一狭长通道之壁间隔开并在两端之间延伸,用来接受气体使它沿着狭长通道分布,并通过分配槽流到基片上。
5.权利要求4的喷射器,其特征为,所说多个调节管中至少有一个调节管含有多孔性材料。
6.权利要求4的喷射器,其特征为,所说多个调节管中至少有一个调节管具有一条沿着其长度延伸的槽,所说槽的方向背离分配槽。
7.权利要求3的喷射器,其特征为,所说多个调节管中至少有一个调节管具有多个沿其长度分布的孔眼,并且所说孔眼的方向背离分配槽。
8.权利要求7的喷射器,其特征为,所说多个孔眼的尺寸沿着所说调节管的长度而变化。
9.权利要求7的喷射器,其特征为,所说多个孔眼之间的间距沿着所说调节管的长度而变化。
10.一种用来将气体分布到基片上的沉积室,具有:
一个由至少一个单件制出的单一喷射器构成的喷射器组件,具有两个端面和至少一个沿着单件的长度延伸的狭长的气体发放表面,以便用来将气体发放到基片上;至少一条第一狭长通道形成在所述喷射器内,并在两个端面之间延伸,用来接受一种气体,至少一条相互间隔的第一细长分配槽形成在所述喷射器上,直接在一个第一狭长通道和狭长气体发放表面之间延伸,从相应通道向气体发放表面输送气体,沿与所述发放表面相邻的基片进行分布;
多个排气块,每一排气块各有两个端面和至少一个沿着排气块的长度延伸的狭长的外部表面,
其特征为,所说排气块位在所说喷射器的邻近,并且在所说至少一个单一喷射器的每一侧都有一个与所说喷射器间隔开的排气块以资在其间形成用来去除气体的排气渠道;及
一个用来支承基片的支座,所说支座位在所说喷射器和排气块之下,并在其间造成一个沉积区。
11.权利要求10的沉积室,其特征为,在支座和至少一个狭长的外表面之间的距离被这样选定使造成半密封,其可基本上防止气体流出沉积区。
12.权利要求10的沉积室,其特征为,在放置在所说支座上的基片的顶表面与至少一个狭长的外部表面之间的距离等于或小于1.0mm。
13.权利要求10的沉积室,其特征为,在放置在所说支座上的基片的顶表面与至少一个狭长的外部表面之间的距离在0.5到1.0mm的范围内。
14.权利要求10的沉积室,其特征为,所说喷射器单件的狭长气体发放表面具有至少一个倒圆的侧边区,并且每一个所说排气块的靠近所说喷射器单件的一侧包括至少一个特形轮廓的侧边区,使在所说区域之间形成的排气渠道被倒圆,从而使所说气体基本上能均匀地被排除。
15.权利要求10的沉积室,其特征为,所说喷射器还具有:
设有中央凹进区的所说狭长气体发放表面;
在所说喷射器内形成并在两个端面之间延伸可用来接受气体的多条第一狭长通道;及
在所说喷射器内形成的多个互相间隔开的细长的第一分配槽,每一个所说槽分别从各自有关的所说第一狭长通道直接延伸到所说气体发放表面的中央凹进区,以资用来从各自有关的通道将气体运送到气体发放平面使它沿着放置在所说发放表面邻近的基片分布。
16.权利要求10的沉积室,还具有至少一条在所说喷射器内形成的第二狭长通道,该通道在两个端面之间延伸用来接受腐蚀剂;及至少一个在所说喷射器内形成的第二细长分配槽,该分配槽直接从至少一条第二狭长通道延伸到气体发放表面,用来从第二狭长通道运送腐蚀剂使它沿着狭长的气体发放表面分布。
17.权利要求10的沉积室,其特征为,所说排气块还具有:
在排气块内形成并在两个端面之间延伸用来接受腐蚀剂的至少一条狭长通道;及
在所说排气块内形成的至少一个细长的分配槽,该分配槽从至少一条狭长通道延伸到狭长的外部表面,用来从所说狭长通道运送腐蚀剂使它沿着狭长的外部表面分布。
18.权利要求10的沉积室,还具有至少一条在所说喷射器内形成的第三狭长通道,该通道在两端之间延伸用来接受一种可控制喷射器温度的介质。
19.权利要求10的沉积室,还具有至少一个调节管,该调节管被插置在所说多条中的至少一条第一狭长通道内,与所说第一狭长通道之壁间隔开并在两端之间延伸,用来接受气体使它沿着第一狭长通道分布并通过分配槽流到基片上。
20.权利要求19的沉积室,其特征为,所说至少一个调节管含有多孔性材料。
21.权利要求19的沉积室,其特征为,所说至少一个调节管设有一条沿着其长度延伸的槽,所说槽的方向背离分配槽。
22.权利要求19的沉积室,其特征为,所说至少一个调节管沿着其长度设有多个孔眼,并且所说孔眼的方向背离分配槽。
23.一种用来将气体发放到基片上的沉积室,具有:
一个由至少一个单件制出的喷射器构成的喷射器组件,具有两个端面和至少一个沿着单件长度延伸的狭长气体发放表面以资将气体发放到基片上,所说气体发放表面具有两个倒圆的侧边区和一个中央的凹进区;
至少两个排气块,每一排气块都有两个端面和两个侧面并有至少一个沿着排气块的长度延伸的狭长外部表面,所说两个侧面中至少有一个特形轮廓的侧边区,并且所说排气块位在所说喷射器组件的邻近并与它间隔开,从而在其间形成一条排气渠道,所说排气渠道在所说倒圆区和特形轮廓区之间有一倒圆部,用来以基本上均匀的方式排除所说气体;及
一个用来支承并移动基片的支座,所说支座、每一个喷射器和至少两个排气块之间形成一个沉积区用来加工所说基片,
其特征为,所说喷射器包括多条在所说单件内形成并在两个端面之间延伸用来接受气体的第一狭长通道,和多个互相间隔开的第一细长分配槽,其中每一个槽都分别从各自有关的所说第一狭长通道直接延伸到所说气体发放表面的中央凹进部,以资用来直接从各自有关的通道将气体运送到气体发放表面上,
所说排气块中至少有一个排气块包括有至少一条在其内形成并在两个端面之间延伸用来接受腐蚀剂的第二狭长通道,和至少一个第二细长分配槽,该槽直接从所说第二狭长通道延伸到外部表面上,用来从所说狭长通道运送腐蚀剂使它沿着狭长的外部表面分布。
24.权利要求23的沉积室,其特征为,所说喷射器还具有至少一条在所说单件内形成并在两个端面之间延伸用来接受腐蚀剂的第三狭长通道;和
至少一个在所说单件内形成的第三细长分配槽,该槽从所说至少一条第三狭长通道直接延伸到所说气体发放表面的倒圆侧边区,用来从所说狭长通道运送腐蚀剂使它分布在狭长的气体发放表面上。
25.权利要求23的沉积室,还具有至少一个调节管,该调节管被插置在至少一条第一狭长通道内,与所说第一狭长通道之壁间隔开并在两端之间延伸,用来接受气体使它沿着狭长通道分布,并通过分配槽流到基片上。
26.权利要求10的沉积室,其特征为,所说沉积室具有多个喷射器和多个排气块,每一排气块都位在所说多个喷射器每一侧的邻近并与它间隔开,从而在其间形成多条排气渠道。
27.权利要求25的沉积室,还具有三个喷射器和四个排气块,每一所说排气块都被放置在所说三个喷射器中每一喷射器的每一侧的邻近,致使有两个所说排气块位在该室的内部,而另外两个排气块构成该室的外部,两个内部排气块都各包括一条狭长内部通道以便使气体分布到各喷射器之间。
28.权利要求23的沉积室,还具有一个气体入口,设置在远离所说喷射器组件的地方,其特征为,所说入口将气体喷射到所说喷射器组件内,而该气体通过所说排气渠道被排除,从而造成一个向内流动的清除,这样便可隔离所说沉积区。
CN98807203A 1997-07-14 1998-07-10 单体喷射器和沉积室 Expired - Fee Related CN1122116C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/892,469 1997-07-14
US08/892,469 US6022414A (en) 1994-07-18 1997-07-14 Single body injector and method for delivering gases to a surface

Publications (2)

Publication Number Publication Date
CN1265163A CN1265163A (zh) 2000-08-30
CN1122116C true CN1122116C (zh) 2003-09-24

Family

ID=25399994

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98807203A Expired - Fee Related CN1122116C (zh) 1997-07-14 1998-07-10 单体喷射器和沉积室

Country Status (10)

Country Link
US (1) US6022414A (zh)
EP (2) EP1889817B1 (zh)
JP (2) JP3607198B2 (zh)
KR (1) KR100355058B1 (zh)
CN (1) CN1122116C (zh)
AT (1) ATE472615T1 (zh)
AU (1) AU8299398A (zh)
DE (1) DE69841749D1 (zh)
TW (1) TW412597B (zh)
WO (1) WO1999004059A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109906119A (zh) * 2016-10-18 2019-06-18 环球展览公司 有机蒸气喷射沉积装置配置

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US6143080A (en) * 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
EP1212475B1 (en) 1999-05-21 2011-12-28 Aviza Technology, Inc. Protective gas shield apparatus
KR100394571B1 (ko) * 1999-09-17 2003-08-14 삼성전자주식회사 화학기상증착용 튜브
US20020134507A1 (en) * 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6855370B2 (en) * 2001-05-04 2005-02-15 E. I. Du Pont De Nemours And Company Fluoropolymer interlayer dielectric by chemical vapor deposition
US6626997B2 (en) * 2001-05-17 2003-09-30 Nathan P. Shapiro Continuous processing chamber
US6890386B2 (en) * 2001-07-13 2005-05-10 Aviza Technology, Inc. Modular injector and exhaust assembly
US6808741B1 (en) * 2001-10-26 2004-10-26 Seagate Technology Llc In-line, pass-by method for vapor lubrication
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
FR2834713B1 (fr) 2002-01-15 2004-04-02 Snecma Moteurs Procede et installation pour la densification de substrats par infiltration chimique en phase vapeur
AU2003233581A1 (en) * 2002-05-21 2003-12-12 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
CA2487486A1 (en) * 2002-06-21 2003-12-31 E.I. Du Pont De Nemours And Company Fluoropolymer interlayer dielectric by chemical vapor deposition
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
CN101003895B (zh) * 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
JP4573902B2 (ja) * 2008-03-28 2010-11-04 三菱電機株式会社 薄膜形成方法
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
GB0816186D0 (en) * 2008-09-05 2008-10-15 Aviza Technologies Ltd Gas delivery device
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
EP2180768A1 (en) * 2008-10-23 2010-04-28 TNO Nederlandse Organisatie voor Toegepast Wetenschappelijk Onderzoek Apparatus and method for treating an object
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8257799B2 (en) * 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2256782B1 (en) * 2009-05-25 2018-08-29 Applied Materials, Inc. Plasma deposition source and method for depositing thin films
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US8865259B2 (en) * 2010-04-26 2014-10-21 Singulus Mocvd Gmbh I.Gr. Method and system for inline chemical vapor deposition
JP5927679B2 (ja) * 2010-10-16 2016-06-01 ウルトラテック,インコーポレイテッド Aldコーティングシステム
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
WO2013019285A2 (en) 2011-03-23 2013-02-07 Pilkington Group Limited Apparatus for depositing thin film coatings and method of deposition utilizing such apparatus
JP2014513209A (ja) * 2011-03-23 2014-05-29 ピルキントン グループ リミテッド 化学気相蒸着法による酸化亜鉛被膜を堆積させる方法
KR101311983B1 (ko) * 2011-03-31 2013-09-30 엘아이지에이디피 주식회사 가스 주입 장치, 원자층 증착장치 및 이 장치를 이용한 원자층 증착방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011077833A1 (de) * 2011-06-20 2012-12-20 Gebr. Schmid Gmbh Verfahren zur Bearbeitung von Substraten und Vorrichtung dazu
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115867A1 (en) * 2011-11-08 2013-05-09 General Electric Company Enclosure system and method for applying coating
FI123320B (en) * 2012-02-17 2013-02-28 Beneq Oy Nozzle and nozzle head
WO2014020310A2 (en) * 2012-07-30 2014-02-06 Pilkington Group Limited A method of depositing a coating utilizing a coating apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101409974B1 (ko) * 2012-09-03 2014-06-27 엘아이지에이디피 주식회사 가스흡배기유닛 및 이를 구비한 원자층 증착장치
KR20140038070A (ko) * 2012-09-20 2014-03-28 삼성코닝정밀소재 주식회사 가스 분사 장치 및 이에 사용되는 인젝터 파이프
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150360242A1 (en) * 2014-06-11 2015-12-17 Veeco Ald Inc. Linear Deposition Apparatus with Modular Assembly
US20150361548A1 (en) * 2014-06-12 2015-12-17 Veeco Ald Inc. Injection Assembly in Linear Deposition Apparatus with Bulging Ridges Extending along Bottom Openings
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102337807B1 (ko) * 2014-11-14 2021-12-09 삼성디스플레이 주식회사 박막 증착 장치
US10350728B2 (en) * 2014-12-12 2019-07-16 Applied Materials, Inc. System and process for in situ byproduct removal and platen cooling during CMP
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
NL2015215B1 (en) * 2015-07-23 2017-02-08 Meyer Burger (Netherlands) B V Programmable deposition apparatus.
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10704144B2 (en) * 2015-10-12 2020-07-07 Universal Display Corporation Apparatus and method for printing multilayer organic thin films from vapor phase in an ultra-pure gas ambient
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7325343B2 (ja) * 2020-01-08 2023-08-14 東京エレクトロン株式会社 ガス供給構造及び基板処理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11724355B2 (en) 2020-09-30 2023-08-15 Applied Materials, Inc. Substrate polish edge uniformity control with secondary fluid dispense
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220073192A (ko) * 2020-11-26 2022-06-03 에스케이실트론 주식회사 연마 패드 세정 장치 및 연마 장치
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE107723C (zh) *
GB1282866A (en) * 1968-08-16 1972-07-26 Pilkington Brothers Ltd Improvements in or relating to the production of glass having desired surface characteristics
GB1507996A (en) * 1975-06-11 1978-04-19 Pilkington Brothers Ltd Coating glass
GB1524326A (en) * 1976-04-13 1978-09-13 Bfg Glassgroup Coating of glass
DE2638270C2 (de) * 1976-08-25 1983-01-27 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur Herstellung großflächiger, freitragender Platten aus Silicium
US4203553A (en) * 1977-06-27 1980-05-20 Corning Glass Works Ribbon burner
US4136828A (en) * 1977-06-27 1979-01-30 Corning Glass Works Oxide depositing ribbon burner
JPS5437077A (en) * 1977-08-02 1979-03-19 Agency Of Ind Science & Technol Chemical evaporation method and apparatus for same
CA1138725A (en) * 1978-07-20 1983-01-04 Robert Terneu Glass coating
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
CH643469A5 (fr) * 1981-12-22 1984-06-15 Siv Soc Italiana Vetro Installation pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide.
US4537795A (en) * 1982-09-16 1985-08-27 Sovonics Solar Systems Method for introducing sweep gases into a glow discharge deposition apparatus
US4499853A (en) * 1983-12-09 1985-02-19 Rca Corporation Distributor tube for CVD reactor
US5391232A (en) * 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
US4756272A (en) * 1986-06-02 1988-07-12 Motorola, Inc. Multiple gas injection apparatus for LPCVD equipment
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
GB8824104D0 (en) * 1988-10-14 1988-11-23 Pilkington Plc Process for coating glass
JPH02295116A (ja) * 1989-05-10 1990-12-06 Mitsubishi Electric Corp 半導体製造装置
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
WO1993006619A1 (en) * 1991-09-27 1993-04-01 Komatsu Electronic Metals Co., Ltd. Apparatus for introducing gas, and apparatus and method for epitaxial growth
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5393563A (en) * 1991-10-29 1995-02-28 Ellis, Jr.; Frank B. Formation of tin oxide films on glass substrates
JPH0653140A (ja) * 1992-07-30 1994-02-25 Kawasaki Steel Corp 連続式常圧cvd装置
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9300400D0 (en) * 1993-01-11 1993-03-03 Glaverbel A device and method for forming a coating by pyrolysis
US6047713A (en) * 1994-02-03 2000-04-11 Applied Materials, Inc. Method for cleaning a throttle valve
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5456740A (en) * 1994-06-22 1995-10-10 Millipore Corporation High-efficiency metal membrane getter element and process for making
TW359943B (en) * 1994-07-18 1999-06-01 Silicon Valley Group Thermal Single body injector and method for delivering gases to a surface
FR2724923B1 (fr) * 1994-09-27 1996-12-20 Saint Gobain Vitrage Technique de depot de revetements par pyrolyse de composition de gaz precurseur(s)
US5728224A (en) * 1995-09-13 1998-03-17 Tetra Laval Holdings & Finance S.A. Apparatus and method for manufacturing a packaging material using gaseous phase atmospheric photo chemical vapor deposition to apply a barrier layer to a moving web substrate
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109906119A (zh) * 2016-10-18 2019-06-18 环球展览公司 有机蒸气喷射沉积装置配置
CN109906119B (zh) * 2016-10-18 2021-12-21 环球展览公司 有机蒸气喷射沉积装置配置

Also Published As

Publication number Publication date
EP1889817B1 (en) 2013-06-12
EP1889817A3 (en) 2008-02-27
DE69841749D1 (de) 2010-08-12
WO1999004059A1 (en) 1999-01-28
EP1017873B1 (en) 2010-06-30
KR20010021866A (ko) 2001-03-15
US6022414A (en) 2000-02-08
AU8299398A (en) 1999-02-10
JP2004235660A (ja) 2004-08-19
EP1889817A2 (en) 2008-02-20
JP2001510242A (ja) 2001-07-31
CN1265163A (zh) 2000-08-30
EP1017873A4 (en) 2004-08-25
JP4216212B2 (ja) 2009-01-28
TW412597B (en) 2000-11-21
EP1017873A1 (en) 2000-07-12
JP3607198B2 (ja) 2005-01-05
ATE472615T1 (de) 2010-07-15
KR100355058B1 (ko) 2002-10-05

Similar Documents

Publication Publication Date Title
CN1122116C (zh) 单体喷射器和沉积室
CN103108986B (zh) 无接触地移动基材的方法和设备
CN1020620C (zh) 从焦沥青页岩和其它含烃类的物质得到油、气和副产品的装置和方法的改进
CN101472662B (zh) 热回收气体的吸收方法
CN1163628C (zh) 具有气流控制系统的晶片处理反应器及方法
CN1730142A (zh) 微型流体芯片
CN1266170A (zh) 汽液接触器和低温气体分离装置以及气体分离方法
CN1127581C (zh) 自由浮动屏障与半导体工艺系统
CN1118628C (zh) 用于微型泵的微加工过滤器
CN1285006A (zh) 自由浮动的护罩和半导体处理系统
CN1271377C (zh) 空气冷却装置及空气冷却方法
CN1284895A (zh) 结构组合及其构件
CN1789193A (zh) 加工块状材料窑炉用梁
CN1705508A (zh) 将液体加入到一个喷射层装置的固体流中的方法和设备
KR20110027696A (ko) 세포를 보호유지하고 재순환시키는 방법 및 장치
CN88101479A (zh) 气体液体塔结构
CN1672047A (zh) 暴露设备
CN1199238C (zh) 用于涂敷和显影的方法和系统
CN1348429A (zh) 气液连续反应的方法和装置
CN1109129C (zh) 气体输送定量分配管
US7105036B2 (en) Drift eliminator, light trap, and method of forming same
CN1286389A (zh) 在热质交换接触装置内产生螺旋气流的薄片填充叠层
US20160228812A1 (en) Systems and methods for multi-celled gas processing
CN1636079A (zh) 在其中实现一种需要控制一个腔室内气体环境的作业的设施
CN206064146U (zh) 一种生物滴滤床废气处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee
REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1028906

Country of ref document: HK