CN102915916A - Semiconductor device and forming method thereof - Google Patents

Semiconductor device and forming method thereof Download PDF

Info

Publication number
CN102915916A
CN102915916A CN2011102176762A CN201110217676A CN102915916A CN 102915916 A CN102915916 A CN 102915916A CN 2011102176762 A CN2011102176762 A CN 2011102176762A CN 201110217676 A CN201110217676 A CN 201110217676A CN 102915916 A CN102915916 A CN 102915916A
Authority
CN
China
Prior art keywords
semiconductor device
laser
semiconductor substrate
annealing
scanning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011102176762A
Other languages
Chinese (zh)
Other versions
CN102915916B (en
Inventor
杨建伦
郭子凤
吴心蕙
李静宜
詹书俨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to CN201110217676.2A priority Critical patent/CN102915916B/en
Publication of CN102915916A publication Critical patent/CN102915916A/en
Application granted granted Critical
Publication of CN102915916B publication Critical patent/CN102915916B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

The invention discloses a semiconductor device and a forming method thereof. The semiconductor device comprises a plurality of active regions and is arranged in a semiconductor substrate. The forming method comprises the steps: using a first laser to perform a first annealing treatment to the semiconductor substrate in a first scanning direction, and using a second laser to perform a second annealing treatment to the semiconductor substrate in a second scanning direction, wherein an included angle is formed between the first scanning direction and the second scanning direction.

Description

Semiconductor device and the method that forms semiconductor device
Technical field
The method that the present invention relates to a kind of semiconductor device and form semiconductor device, especially relate to a kind of laser that utilizes the different scanning direction and carry out the semiconductor device of annealing in process and the method that forms semiconductor device, to reduce annealing in process to heat injury that semiconductor device was produced.
Background technology
In the semiconductor element manufacture craft, often can control the number of charged carriers by in semiconductor base, adding suitable impurity, and form different doped regions, to consist of required circuit element.Generally speaking, by various doping methods, such as ion implantation manufacture craft, liquid deposition methods, thermal diffusion method or chemical vapor deposition method etc., after coming in semiconductor base, to form doped region, all need carry out again annealing in process, the injury that semiconductor base is caused when the implanted semiconductor substrate to repair each admixture particle, and further make dopant activation in the semiconductor base, and reduce the resistance value of these doped regions.
Along with dwindling and lifting that element amasss into degree of semiconductor element size, the control of doped region requires also increasingly strict, and therefore, the present annealing in process time is significantly reduction all.Traditionally, rapid thermal treatment manufacture craft (Rapid Thermal Process, RTP) be usually used in the annealing in process of semiconductor substrate, the rapid thermal treatment manufacture craft is the extra power heated chip with certain pattern, such as: Qiu silk-Halogen lamp LED or hot wall stove etc.In recent years, laser annealing technology is acknowledged as to replace the heat treatment process of new generation of rapid thermal annealing.Laser can be finished annealing in process within the very of short duration time, because the fast and heat treated time of its heating rate is short, is conducive to form more shallow doped region, and can effectively reduces the junction degree of depth, to improve the electrical characteristics of semiconductor element.
Yet, laser annealing is processed need to impose on very high energy density the semiconductor substrate surface, and have the element of many unlike materials and the layout of different pattern density on it, therefore the direction of the thickness of semiconductor substrate can the formation temperature inequality phenomenon and cause the defective of semiconductor substrate.
Summary of the invention
The method that one of main purpose of the present invention is to provide a kind of semiconductor device and forms semiconductor device is to reduce annealing in process to heat injury that semiconductor device was produced.
For reaching above-mentioned purpose, the invention provides a kind of method that forms semiconductor device, comprise the semiconductor base material is provided, next use one first laser along one first scanning direction semiconductor substrate to be carried out one first annealing in process, and use one second laser along one second scanning direction semiconductor substrate to be carried out one second annealing in process, wherein the first scanning direction and the second scanning direction have an angle.
The invention provides the another kind of method that forms semiconductor device, comprise providing a semiconductor substrate with a plurality of active areas.In the semiconductor substrate, the major axis parallel one first of active area of part is axial, and the major axis of remaining active area is parallel, and another is second axial, and first is axially axially not parallel with second.Next utilize one first laser along one first scanning direction semiconductor substrate to be carried out one first annealing in process, wherein first scanning direction and first of the first laser axially has an acute angle.
In addition, the invention provides a kind of semiconductor device, comprise a plurality of active areas, be arranged in the semiconductor base material, wherein the major axis of each active area all be parallel to one identical first axial, and the scanning direction of the first axial not parallel laser.
The semiconductor device that proposes according to the present invention and the method that forms semiconductor device utilize the laser of different scanning directions to carry out annealing in process, can effectively reduce the heat injury that annealing in process produces semiconductor device.
Description of drawings
Fig. 1 to Fig. 4 is the schematic diagram of method of the formation semiconductor device of first embodiment of the invention;
Fig. 5 and Fig. 6 are that semiconductor substrate produced the schematic diagram of warpage deformation during the present invention first implemented;
Fig. 7 to Fig. 8 is the schematic diagram of method of the formation semiconductor device of second embodiment of the invention;
Fig. 9 is the schematic diagram of the semiconductor device of third embodiment of the invention.
The main element symbol description
10 semiconductor devices, 12 semiconductor substrates
14 active areas, 16 grids
18 source doping region, 20 drain doping region
22 first scanning patterns, 23 scan cycle
24 second scanning patterns, 26 sacrifice layers
L laser L1 the first laser
L2 the second laser d scanning direction
D1 the first scanning direction d2 the second scanning direction
D1 the first axial D2 second is axial
S1 upper surface S2 lower surface
α 1, α 2 incidence angle γ, ψ angle
Embodiment
Please refer to Fig. 1 to Fig. 4, Fig. 1 to Fig. 4 is the schematic diagram of method of the formation semiconductor device of first embodiment of the invention.In the present embodiment, the method for formation semiconductor device 10 is as described below.At first, as shown in Figure 1, provide a semiconductor substrate 12 that comprises a plurality of active areas 14, wherein each active area 14 rough configuration of being rectangle, and have a major axis and a minor axis, and each active area 14 includes one source pole doped region 18 and a drain doping region 20.And the major axis of active areas 14 more than half is parallel to one first axial D1 in the semiconductor substrate 12.In addition, be provided with a grid 16 on each active area 14, parallel short-axis direction extends and overlapping with active area 14.
Then, as shown in Figure 2, use one first laser L1 along first a scanning direction d1 who is parallel to the first axial D1 semiconductor substrate 12 to be carried out one first annealing in process, and use one second laser L2 along one second scanning direction d2 semiconductor substrate 12 to be carried out one second annealing in process, and the first scanning direction d1 and the second scanning direction d2 have an angle γ in the present embodiment, for example be 90 degree, but be not limited to this.In the present embodiment, the first laser L1 and the second laser L2 can be the laser (having identical wavelength) of identical type, also can be different types of laser (having different wave length).In addition, the first laser L1 is set with a plurality of the first scanning patterns 22 and contains whole semiconductor substrate 12 surfaces, in order to scan semiconductor substrate 12 fully on the first scanning direction d1.Wherein the first adjacent scanning pattern 22 has different scanning directions, for example first of the n bar to sweep scanning pattern 22 be to scan from left to right, then first of the n+1 bar to sweep scanning pattern 22 be to scan from right to left, makes the mode continuous sweep that the first scanning pattern 22 can a stroke; Similarly, the second laser L2 is on the second scanning direction d2, also be set with a plurality of the second scanning patterns 24 of containing whole semiconductor substrate 12 areas, wherein the second adjacent scanning pattern 24 has different scanning directions, for example the second scanning pattern 24 of n bar is from top to bottom to scan, then the second scanning pattern 24 of n+1 bar is from bottom to top to scan, and in this preferred embodiment, equal non-overlapping part between adjacent each first scanning pattern 22, and also all non-overlapping part between each adjacent second scanning pattern 24.In the present embodiment, complete all the first scanning pattern 22 rear second laser L2 that just use of the first laser L1 elder generation's complete scan scan the second scanning pattern 24, but be not limited to this, also can use first to re-use the first laser L1 behind complete the second all scanning pattern 24 of the second laser L2 complete scan and scan the first scanning pattern 22, overlapping with the surf zone of semiconductor substrate 12 fully in order to do the zone that all first scanning patterns 22 and all the second scanning patterns 24 are covered.
In addition, in the present invention, the edge of all the first scanning patterns 22 and all the second scanning patterns 24 also can prolong the edge of semiconductor substrate 12 and presents arc shooting.To carry out the first laser scanning as example, as shown in Figure 3, surface for complete all semiconductor substrates 12 of complete scan, the present embodiment more can be divided into a plurality of scan cycle 23 with the first laser L1 annealing in process, and each scan cycle 23 comprises many first scanning patterns 22, and not necessarily contour between each adjacent first scanning pattern 22, but a skew of prolonging the vertical first axial D1 direction is arranged, therefore in a scan cycle 23, the formation arc is all arranged at the edge of all the first scanning patterns 22.
As shown in Figure 4, semiconductor substrate 12 comprises a upper surface S1 and a lower surface S2, and the upper surface S1 of the first laser L1 and the second laser L2 and semiconductor substrate 12 has respectively one between incidence angle α 1 and the α 2 of 0 degree to 90 degree, incidence angle α 1 and the α 2 better Brewster angles (Brewster angle) that are, but this two not necessarily equate.Because the first laser L1 and the second laser L2 only carry out the first and second annealing in process for the upper surface S1 of semiconductor substrate 12 respectively, therefore the upper surface S1 of semiconductor substrate 12 and lower surface S2 have the difference on the temperature.Therefore the present embodiment method of forming semiconductor device more can comprise semiconductor substrate 12 is carried out the heating of the crystalline substance back of the body, the defective that may cause with the temperature difference of the upper surface S1 of minimizing semiconductor substrate 12 and lower surface S2.For example utilize a photoflash lamp (not being shown among the figure) that the lower surface S2 of semiconductor substrate 12 is heated, but be not limited to this.In addition, as shown in Figure 4, before carrying out annealing in process, selectivity ground formation one sacrifice layer 26 is covered on the semiconductor substrate 12 in addition, otherness (refraction, reflectivity) with the upper surface S1 that reduces semiconductor substrate 12, make semiconductor substrate 12 difference of being heated not because of material, pattern everywhere produce larger difference, and this sacrifice layer 26 can be removed after annealing.
Therefore it should be noted that in the semiconductor substrate 12 of the present embodiment, the major axis of active areas 14 more than half is parallel to the first axial D1, is carrying out probably can causing larger heat injury to semiconductor substrate 12 when the first annealing in process of axial D1 is set.In view of this, in the present embodiment, namely utilize more low-energy the first laser L1, come semiconductor substrate 12 is carried out the first annealing in process along the first axial D1, make the first annealing in process have the first lower temperature, to reduce the heat injury that semiconductor substrate 12 is caused.And in the second annealing in process, because the second scanning direction d2 and the first axial D1 of the second laser L2 have angle γ, for example be 90 degree, therefore the second annealing in process is less to the thermal effect that semiconductor substrate 12 produces, and the second laser L2 that can utilize higher-energy makes the second annealing in process have the second higher temperature, to improve the effect of annealing.
Please refer to Fig. 5 and Fig. 6, Fig. 5 and Fig. 6 are that semiconductor substrate produced the schematic diagram of warpage deformation during the present invention first implemented.In the present embodiment, owing to being respectively arranged with various effect of stress district, epitaxial loayer, doped region etc. in each active area 14 of semiconductor substrate 12, to cause after the heating producing such as poor row's ring defectives such as (dislocation loop), therefore shown in Fig. 5 right-hand part, when semiconductor substrate 12 is finished scanning at the first scanning direction d1 along the first laser L1, the direction that can prolong the vertical first axial D1 because be heated produces warpage deformation (warpage), shown in Fig. 5 left side; And and then semiconductor substrate 12 after the second scanning direction d2 along the second laser L2 finishes scanning, shown in Fig. 6 right-hand part, semiconductor substrate 12 just can prolong because of being heated parallel axes to the direction warpage deformation of D1, and then produce more even and symmetrical warpage deformation (warpage), shown in Fig. 6 left side.Therefore the present invention can effectively avoid the annealing in process of single axial to produce the deformation of potato sheet.In addition, semiconductor substrate 12 is through after the first and second annealing in process, and all active areas 14 can be by complete scan twice, therefore can reach the effect of better annealing.
Please refer to Fig. 7 and Fig. 8, Fig. 7 and Fig. 8 are the schematic diagram of method of the formation semiconductor device of second embodiment of the invention.For convenience of description, at the present embodiment label mark identical element identical with use in above-described embodiment.In the present embodiment, the method for formation semiconductor device 10 is as described below.As shown in Figure 7, one semiconductor substrate 12 with a plurality of active areas 14 at first is provided, wherein the major axis of part active area 14 is parallel to the first axial D1, and the major axis of remaining active area 14 is parallel to another the second axial D2, and the first axial D1 and the second axial D2 are not parallel each other.In the present embodiment, the first axial D1 is mutually vertical with the second axial D2, but is not limited to this.Next, use one first laser L1 along one first scanning direction d1 semiconductor substrate 12 to be carried out annealing in process, wherein the first scanning direction d1 of the first laser L1 and the first axial D1 have an acute angle ψ.Acute angle ψ is set as 45 degree in the present embodiment, but is not limited to this.In addition, in the present embodiment, in order to make the whole active area 14 on the semiconductor substrate 12 all can reach better annealing effect, therefore the first laser L1 is set with a plurality of the first scanning patterns 22, and each adjacent first scanning pattern 22 has approximately 50% area overlapping (figure bend zone) each other, so that all active areas 14 can be reached better annealing effect by twice of complete scan.
As discussed previously, the annealing in process of single axial probably can make semiconductor substrate 12 produce the deformation of potato sheet.In view of this, the present embodiment can provide the another kind of execution mode that changes.As shown in Figure 8, in using the first laser L1 after the first scanning direction d1 carries out the first annealing in process to semiconductor substrate 12, use in addition one second laser L2 along one second scanning direction d2 semiconductor substrate 12 to be carried out one second annealing in process, wherein the second scanning direction d2 and the first scanning direction d1 have an angle γ.In the present embodiment, the angle γ of the second scanning direction d2 and the first scanning direction d1 is 90 degree, but is not limited to this.It should be noted that, the first laser L1 and the second laser L2 are set with respectively a plurality of the first scanning patterns 22 and a plurality of the second scanning pattern 24, and equal also equal non-overlapping part between non-overlapping part and adjacent each second scanning area 24 between adjacent each first scanning pattern 22.As the first above-mentioned embodiment, in the present embodiment, the first adjacent scanning pattern 22 has different scanning directions, and the second adjacent scanning pattern 24 also has different scanning directions.In addition, the edge of the first scanning pattern 22 and the second scanning pattern 24 also can present arc, so that the zone that all first scanning patterns 22 and all the second scanning patterns 24 cover overlaps with the surf zone of semiconductor substrate 12 fully.In the present embodiment and since semiconductor substrate 12 pass through respectively disalignment to first with the second annealing in process, therefore can produce more even and symmetrical warpage deformation.In addition, all active areas 14 in the semiconductor substrate 12 can by complete scan twice, therefore can reach better annealing effect.
In second embodiment of the invention, as shown in Figure 7, semiconductor substrate 12 comprises a upper surface S1 and a lower surface S2, and the upper surface S1 of the first laser L1 and the second laser L2 and semiconductor substrate 12 has respectively one between incidence angle α 1 and the α 2 of 0 degree to 90 degree, incidence angle α 1 and the α 2 better Brewster angles that are, but this two not necessarily equate.As first embodiment of the invention, the method that the present embodiment forms semiconductor device can comprise that also semiconductor substrate 12 is carried out the crystalline substance back of the body to be heated, and before carrying out the first annealing in process, optionally forming a sacrifice layer 26 is covered on the semiconductor substrate 12, otherness (refraction, reflectivity) with the upper surface S1 that reduces semiconductor substrate 12, make semiconductor substrate 12 difference of being heated not because of material, pattern everywhere produce larger difference, sacrifice layer 26 can be removed after annealing.
It should be noted that in addition in second embodiment of the invention, such as Fig. 7 and shown in Figure 8, the major axis of each active area 14 is parallel to the mutually perpendicular first axial D1 or the second axial D2.When the first annealing in process, the first scanning direction d1 of the first laser L1 and the first axial D1 have the angle ψ of 45 degree, and hence one can see that, and the first scanning direction d1 and the second axial D2 have the angle of 45 degree equally.When the second annealing in process, the second scanning direction d2 of the second laser L2 and the first scanning direction d1 have the angle γ of 90 degree, hence one can see that, the second scanning direction d2 of the second laser L2 and the first axial D1 have the angles of 135 degree, and the second scanning direction d2 and the second axial D2 have 135 angles of spending equally.Thus, the first laser L1 and the second laser L2 all can produce less energy component for active area 14 major axis of the parallel first axial D1 and active area 14 major axis of the parallel second axial D2, to avoid that semiconductor substrate 12 is caused larger heat injury.
Please refer to Fig. 9, Fig. 9 is the schematic diagram of the semiconductor device of third embodiment of the invention.For convenience of description, at the present embodiment label mark identical element identical with use in above-described embodiment, and identical part repeats no more.As shown in Figure 9, semiconductor device 10 comprises that a plurality of active areas 14 are arranged in the semiconductor base material 12.Wherein each active area 14 comprises one source pole doped region 18 and a drain doping region 20.In addition, be provided with a grid 16 along one second axial D2 setting on each active area 14.In the present embodiment, the major axis of all active areas 14 all is parallel to the first axial D1, and the scanning direction d of the not parallel laser L of the first axial D1.As shown in Figure 9, the second axial D2 and the first axial D1 are orthogonal in the present embodiment.The present invention can be at the beginning of the design circuit layout, the major axis that namely limits all active areas 14 in design rule (design rule) all need be parallel to first an identical axial D1, and in the present embodiment, laser L can carry out annealing in process to semiconductor substrate 12 along the scanning direction d (being the second axial D2) perpendicular to the first axial D1, with the heat injury of avoiding annealing in process that active area 14 major axis that are parallel to the first axial D1 are produced.
In sum, the semiconductor device that proposes according to the present invention and the method that forms semiconductor device, utilize the laser of different scanning direction that semiconductor substrate is carried out annealing in process better annealing effect can be provided, and when annealing in process, can reduce the energy of laser on arranging axially for active area, to reduce annealing in process to heat injury that semiconductor device was produced.And the laser annealing processing method that the present invention proposes can be applicable to the various heat treatments (heat treatment) such as strain memory technique (stress memorization techniques, SMT), source/drain annealing (S/D anneal), self-aligned metal silicate (salicidation), recrystallization (re-crystallization).
The above only is preferred embodiment of the present invention, and all equalizations of doing according to claim of the present invention change and modify, and all should belong to covering scope of the present invention.

Claims (32)

1. method that forms semiconductor device comprises:
The semiconductor base material is provided;
Use one first laser along one first scanning direction this semiconductor substrate to be carried out one first annealing in process;
Use one second laser along one second scanning direction this semiconductor substrate to be carried out one second annealing in process, wherein this first scanning direction and this second scanning direction have an angle.
2. the method for formation semiconductor device as claimed in claim 1, wherein this first laser is set with a plurality of the first scanning patterns.
3. the method for formation semiconductor device as claimed in claim 1, wherein this second laser is set with a plurality of the second scanning patterns.
4. the method for formation semiconductor device as claimed in claim 2, wherein respectively the edge of this first scanning pattern can present arc shooting, so that the zone that these a plurality of first scanning patterns cover overlaps with the surf zone of this semiconductor substrate fully.
5. the method for formation semiconductor device as claimed in claim 3, wherein respectively the edge of this second scanning pattern can present arc shooting, so that the zone that these a plurality of second scanning patterns cover overlaps with the surf zone of semiconductor substrate fully.
6. the method for formation semiconductor device as claimed in claim 1, wherein this first annealing in process has one first temperature, and this second annealing in process has one second temperature, and this first temperature is less than this second temperature.
7. the method for formation semiconductor device as claimed in claim 1, wherein have a plurality of active areas in this semiconductor substrate, and this each active area has a major axis, wherein the major axis of a plurality of active areas of more than half this all is parallel to one first axial, and in this first annealing process, this first scanning direction is parallel to that this is first axial.
8. the method for formation semiconductor device as claimed in claim 1, wherein this angle is about 90 degree.
9. the method for formation semiconductor device as claimed in claim 1, wherein a surface of this first laser and this semiconductor substrate has one between the incidence angles of 0 degree to 90 degree, and this incidence angle is preferably Brewster angle.
10. the method for formation semiconductor device as claimed in claim 1, wherein a surface of this second laser and this semiconductor substrate has one between the incidence angles of 0 degree to 90 degree, and this incidence angle is preferably Brewster angle.
11. the method for formation semiconductor device as claimed in claim 1, wherein when carrying out this a plurality of annealing in process, other comprises that this semiconductor substrate is carried out the crystalline substance back of the body to be heated.
12. the method for formation semiconductor device as claimed in claim 1, wherein before carrying out these a plurality of annealing in process, other comprises that formation one sacrifice layer is covered in the step on this semiconductor substrate.
13. a method that forms semiconductor device comprises:
The semiconductor base material is provided, have a plurality of active areas, and this each active area has a major axis, the major axis of some of these a plurality of active areas parallel one first is axial, the major axis of remaining these a plurality of active areas is parallel, and another is second axial, and this first is not parallel to axially that this is second axial; And
Use one first laser along one first scanning direction this semiconductor substrate to be carried out one first annealing in process, wherein this first scanning direction and this first axially has an acute angle.
14. such as the method for the formation semiconductor device of claim 13, wherein this is first axially second axially mutual vertical with this.
15. such as the method for the formation semiconductor device of claim 13, wherein this acute angle is about 45 degree.
16. such as the method for the formation semiconductor device of claim 13, wherein this first laser is set with a plurality of the first scanning patterns.
17. such as the method for the formation semiconductor device of claim 16, it is overlapping that wherein a plurality of the first scanning patterns of adjacent this have approximately 50% area each other.
18. such as the method for the formation semiconductor device of claim 16, wherein the edge of this first scanning pattern can present arc, so that the zone that this first scanning pattern covers overlaps with the surf zone of this semiconductor substrate fully.
19. such as the method for the formation semiconductor device of claim 13, wherein a surface of this first laser and this semiconductor substrate has one between the incidence angles of 0 degree to 90 degree, and this incidence angle is preferably Brewster angle.
20. the method such as the formation semiconductor device of claim 13, in using this first laser after this first annealing in process is carried out to this semiconductor substrate in this first scanning direction, other comprises that use one second laser carries out one second annealing in process along one second scanning direction to this semiconductor substrate, and wherein this second scanning direction and this first scanning direction have an angle.
21. such as the method for the formation semiconductor device of claim 20, wherein this angle is 90 degree.
22. such as the method for the formation semiconductor device of claim 20, wherein this first laser is set with a plurality of the first scanning patterns, and this second laser is set with a plurality of the second scanning patterns.
23. such as the method for the formation semiconductor device of claim 22, also non-overlapping part wherein between a plurality of the first scanning patterns of adjacent this and non-overlapping part, and between these adjacent a plurality of second scanning patterns.
24. the method such as the formation semiconductor device of claim 22, wherein the edge of these a plurality of the first scanning patterns and these a plurality of the second scanning patterns can present arc shooting, so that the zone that these a plurality of first scanning patterns and this a plurality of the second scanning patterns cover overlaps with the surf zone of this semiconductor substrate fully.
25. such as the method for the formation semiconductor device of claim 20, wherein a surface of this second laser and this semiconductor substrate has one between the incidence angles of 0 degree to 90 degree, and this incidence angle is preferably Brewster angle.
26. such as the method for the formation semiconductor device of claim 13, other comprises this semiconductor substrate is carried out the heating of the crystalline substance back of the body.
27. such as the method for the formation semiconductor device of claim 13, wherein before carrying out this first annealing in process, other comprises that forming a sacrifice layer is covered on this semiconductor substrate.
28. a semiconductor device comprises that a plurality of active areas are arranged in the semiconductor base material, and this each active area has a major axis, the major axis of these a plurality of active areas is all parallel one identical first axial, and this first scanning direction that axially is not parallel to a laser.
29. such as the semiconductor device of claim 28, wherein this each active area comprises:
Source doping region; And
Drain doping region.
30. such as the semiconductor device of claim 29, wherein be provided with a grid on each active area, with respectively this active area is overlapping, and these a plurality of grids axially arrange along one second.
31. such as the semiconductor device of claim 30, wherein this is second axially and this is first axially orthogonal.
32. such as the semiconductor device of claim 28, wherein this laser second axially carries out an annealing in process to this semiconductor substrate along this.
CN201110217676.2A 2011-08-01 2011-08-01 Semiconductor device and the method forming semiconductor device Active CN102915916B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201110217676.2A CN102915916B (en) 2011-08-01 2011-08-01 Semiconductor device and the method forming semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110217676.2A CN102915916B (en) 2011-08-01 2011-08-01 Semiconductor device and the method forming semiconductor device

Publications (2)

Publication Number Publication Date
CN102915916A true CN102915916A (en) 2013-02-06
CN102915916B CN102915916B (en) 2017-03-01

Family

ID=47614238

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110217676.2A Active CN102915916B (en) 2011-08-01 2011-08-01 Semiconductor device and the method forming semiconductor device

Country Status (1)

Country Link
CN (1) CN102915916B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109638640A (en) * 2019-01-08 2019-04-16 惠州学院 Semiconductor laser

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352291A (en) * 1991-05-28 1994-10-04 Semiconductor Energy Laboratory Co., Ltd. Method of annealing a semiconductor
CN1127427A (en) * 1994-11-18 1996-07-24 株式会社半导体能源研究所 Method of processing semiconductor device with laser
US6265290B1 (en) * 1998-10-20 2001-07-24 Samsung Electronics Co., Ltd. Method for fabricating a thin film transistor and a substrate and thin film transistor manufactured using the same
CN1421901A (en) * 2001-11-22 2003-06-04 株式会社半导体能源研究所 Manufacturing apparatus for semiconductor
CN102097318A (en) * 2009-12-15 2011-06-15 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352291A (en) * 1991-05-28 1994-10-04 Semiconductor Energy Laboratory Co., Ltd. Method of annealing a semiconductor
US5352291B1 (en) * 1991-05-28 2000-04-18 Semiconductor Energy Lab Method of annealing a semiconductor
CN1127427A (en) * 1994-11-18 1996-07-24 株式会社半导体能源研究所 Method of processing semiconductor device with laser
US6265290B1 (en) * 1998-10-20 2001-07-24 Samsung Electronics Co., Ltd. Method for fabricating a thin film transistor and a substrate and thin film transistor manufactured using the same
CN1421901A (en) * 2001-11-22 2003-06-04 株式会社半导体能源研究所 Manufacturing apparatus for semiconductor
CN102097318A (en) * 2009-12-15 2011-06-15 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109638640A (en) * 2019-01-08 2019-04-16 惠州学院 Semiconductor laser

Also Published As

Publication number Publication date
CN102915916B (en) 2017-03-01

Similar Documents

Publication Publication Date Title
JP5718026B2 (en) Method for manufacturing a semiconductor device using laser annealing for selectively activating implanted dopants
US9449848B2 (en) Manufacturing method for semiconductor device, annealing device, and annealing method
JP2011524639A (en) SOLAR CELL DEVICE AND SOLAR CELL ELEMENT FORMING METHOD
KR20120031171A (en) Workpiece handling system
US9299564B2 (en) Ion implant for defect control
US9190548B2 (en) Method of creating two dimensional doping patterns in solar cells
WO2003096386A3 (en) Methods for forming low resistivity, ultrashallow junctions with low damage
JP2013520800A (en) Self-aligned ion implantation for IBC solar cells
TW201246302A (en) Method to manufacture workpiece, method to implant workpiece, and ion implanter
JP2012009870A (en) Method of processing solar cell
US7781302B2 (en) Methods of fabricating semiconductor devices having isolation regions formed from annealed oxygen ion implanted regions
CN104364890A (en) Workpiece carrier
JP2001007039A5 (en)
CN102915916A (en) Semiconductor device and forming method thereof
CN102157343B (en) Laser annealing method using trapezoidal beam spot for scanning
CN103545169A (en) Method for preventing wafer from buckling deformation
TW201413824A (en) Method for fabricating a semiconductor chip
JP2017112335A (en) Semiconductor element manufacturing method
US8575043B2 (en) Semiconductor device and manufacturing method thereof
TWI521599B (en) Semiconductor device and manufacturing method thereof
CN104992966B (en) A kind of preparation method of the low bipolar high frequency power transistor chip of heat budget
CN1323061A (en) DC or AC electric field auxiliary annealing
CN105244267B (en) A kind of Ohmic contact method of silicon carbide PiN device
KR102427002B1 (en) Thin film silicon substrate and method of fabricating the same
CN102263028A (en) Method for forming wafers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant