CN102906855A - 用于处理工具中低颗粒数的气流管理 - Google Patents

用于处理工具中低颗粒数的气流管理 Download PDF

Info

Publication number
CN102906855A
CN102906855A CN2011800252172A CN201180025217A CN102906855A CN 102906855 A CN102906855 A CN 102906855A CN 2011800252172 A CN2011800252172 A CN 2011800252172A CN 201180025217 A CN201180025217 A CN 201180025217A CN 102906855 A CN102906855 A CN 102906855A
Authority
CN
China
Prior art keywords
substrate
wafer
chamber
substrate transport
described substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800252172A
Other languages
English (en)
Other versions
CN102906855B (zh
Inventor
艾瑞克·H·伦兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102906855A publication Critical patent/CN102906855A/zh
Application granted granted Critical
Publication of CN102906855B publication Critical patent/CN102906855B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/42Auxiliary equipment or operation thereof
    • B01D46/44Auxiliary equipment or operation thereof controlling filtration
    • B01D46/446Auxiliary equipment or operation thereof controlling filtration by pressure measuring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86292System with plural openings, one a gas vent or access opening
    • Y10T137/863Access and outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87169Supply and exhaust

Abstract

在本文所描述的各种示例性实施方式中,一种在衬底生产工具中提供气流管理系统的系统和相关的方法,其包括:外壳,该外壳将衬底生产工具耦合连接到风机过滤器单元以提供过滤空气给该外壳;将衬底生产工具耦合连接到减压排放机构的设施连接件;耦合连接在外壳下方并与设施连接气流连通的衬底传输部;以及通过一个或多个衬底传输槽耦合连接到衬底传输部的衬底处理区域。室基本上包括衬底传输部和衬底处理区域,该室耦合连接到外壳上以接受过滤的空气,并与设施连接件相连接以造成过剩气体流的排出。相对于衬底传输部该室在衬底处理区域保持相对低的压强。

Description

用于处理工具中低颗粒数的气流管理
技术领域
本发明总体涉及半导体处理领域,并在特定的示例性实施方式中,涉及在处理室中控制颗粒数的系统和方法。
背景技术
在半导体器件的制造中,经常将一些处理室进行连接以容许晶片或衬底例如在连接室之间的传输。通常利用使晶片移动例如通过槽或端口的传输模块执行该传输,该槽或端口设置在连接室的相邻壁中。传输模块通常结合各种晶片处理模块(PM)使用,PM可包括半导体蚀刻系统、材料沉积系统和平板显示器蚀刻系统。
由于这些半导体器件几十年前就开始推行,其几何(即,集成电路设计规则)尺寸已经显著减小。在处理室中制作的集成电路(IC)一般都遵循着“摩尔定律”,其意指装入单个集成电路芯片的器件的数量每两年增加一倍。当代IC制造设施(“工厂”)常规地制作65纳米(0.065微米)特征尺寸的器件和更小特征尺寸的器件。未来的工厂将生产更小特征尺寸的器件。污染和颗粒的预期数量随着减小的特征尺寸相应地减少,甚至单个的30纳米的颗粒会对给定的IC造成致命缺陷。
也许更重要的是,从基于产率和成本的角度来看,在制造工艺中使用的设备类型(例如,处理工具)正成为主要的技术驱动力。制造工艺必须是有效的,但它也必须是快速的且没有增加总颗粒或污染的预期数量。在许多应用中对当代的300毫米晶片的当代产量需求是每小时360片晶片或者更多。目前,系统只使用单载体线性晶片运动,其要求非生产时间周期,在该周期晶片载体返回到在处理工具中的出发点。因此,晶片处理很慢。提高吞吐量的建议解决方案已集中在添加多个平行的处理工具。虽然这种解决方案会增加晶片产量,但这样做的代价是损失工具占用空间、增加设备成本、降低可靠性,并在许多情况下,增加了从工具内的晶片传输机构产生的颗粒。因此,半导体加工领域需要特别强调设备可靠性、吞吐量和效率的改进。
附图说明
任何各种的附图仅仅是本发明的示例性实施方式,并不能被认为是限制本发明的范围。
图1A是示例性的衬底处理工具的分解透视图,衬底处理工具包括器件前道模块(EFEM)、处理模块、以及电子器件外壳,并入了本文描述的本发明的主题的至少一些方面;
图1B是图1A的包括处理室的处理模块的透视图;
图2是示例性钟臂状衬底载体机构的透视图,该机构用于图1B的处理室;
图3是示例性衬底横移件机构的透视图,该机构用于与图2的钟臂状衬底载体机构结合,在图1B的处理室内;
图4是图2的在示例性实施方式中结合图3的衬底横移件机构使用的钟臂状衬底载体机构的俯视图;
图5A是处理室的下部的透视图,显示出与图4的示例性钟臂状衬底载体机构和衬底横移件机构一起使用并位于图1B内的处理模块内的示例性处理室排气和排水位置;
图5B是示出在图1B所示的处理模块内使用的示例性处理室排气和排水系统的透视图;
图6是示例性的空气处理示意图,显示了在EFEM和图1A的处理模块中进和出的体积流率;
图7A是使用于计算流体动力(CFD)建模中的图1B的处理室的整体组件的示例性的透视图和示例性的俯视图;
图7B是在利用图7A的整体组件的计算流体动态建模中使用的图1B的处理室中的流体域透视图和侧视图(两个视图都在对称线的一侧);
图7C是在图1B的处理室内的总体空气流的运动的计算出的颗粒轨迹的透视图、俯视图、和侧视图(所有的三个视图在对称线的一侧);
图7D是确认负压在图1B的处理室内的位置的计算出的压强场的透视图和俯视图(两个视图在对称线的一侧);
图7E是来自在图1B的处理室内的高效率颗粒空气过滤器(HEPA)的入口的总体空气流的运动的计算出的速度流量场的透视图(在对称线的一侧);
图7F是关于从图1B的处理室内的室入口中的防护罩狭缝到图5A和图5B表明的出口位置的总体空气流的运动的计算出的速度流量场的透视图(在对称线的一侧);
图7G是关于来自HEPA入口和在图1B的处理室内的室电离发生器杆的周围的防护罩的狭缝的总体的空气流的运动的计算出的速度流量场的侧视图(在对称线的一侧);
图7H是关于来自HEPA入口和图1B的处理室内的防护罩的狭缝的压强梯度的计算出的压强场的侧视图(在对称线的一侧)。
具体实施方式
下面的说明中包括体现本文所讨论的本发明的主题的各个方面的示例性的系统、方法和技术。为了解释的目的,在以下的说明中,详细阐述了许多具体细节以提供对本发明的主题的各种实施方式的理解。然而,对于本技术领域的技术人员而言,显而易见,在没有这些具体细节的情况下也可实施本发明的主题的实施方式。此外,公知的操作、结构和技术并没有被详细示出。
如本文所用的术语“或”,可以解释为包容的意义或排他的意义。类似地,术语“示例性”可以仅仅解释为事物的示例或典型,而不一定是实现目标的优选的或理想的方式。此外,虽然下面讨论的各种示例性实施方式集中在衬底传输机构和相关的有关污染减少的技术,但在本公开中给出这些实施方式仅是基于清晰的目的。因此,任何类型的衬底传输机构可以采用本文所描述的系统的各种实施方式,并且被视为是在本发明的主题的范围内。
此外,如本文所用的术语“衬底”仅仅被选为指代任何在半导体和相关工业中使用的各种衬底类型的适当的术语。因此,衬底类型可包括硅晶片、化合物晶片、薄膜磁头组件、聚乙二醇对苯二甲酸酯(PET)膜、空白光掩模和光罩、或本领域中公知的许多其他类型的衬底。
在本文详细描述的各种示例性实施方式中,公开了一种提供过滤的空气的方法和系统,其减少因与在处理工具中运输或处理的衬底接触而产生的颗粒污染,该工具诸如,例如,在半导体工业中使用的晶片清洗工具。该方法和系统还保持在衬底直通设计中的化学品和气相密闭度,同时容许工具内处理室的化学区域的需求变化。过滤器单元提供来自衬底上方的空气。该过滤器单元可以移动以进行维护,并在衬底运输和处理区域上方有间隙以使得该单元容易移动,同时减少振动传递。在示例性实施方式中,空气通过槽进入处理室的化学部分,该槽设计成提供化学部分和衬底传输部分之间的压强差。衬底通过槽退出化学区以最大限度地减少来自室的下部区域的气流。进入化学区的占主导地位的气流通过上部槽,其减少了从该室的下部表面清扫上来的颗粒。在衬底传输机构通过室的化学区域的地方,衬底通过两个狭缝,在该两个狭缝中保持压强差以使颗粒移动远离化学区域,而使非化学区域没有化学气相。因此,当衬底通过将室内各区域分离的槽时,本文所描述的示例性实施方式中的每一种使在衬底表面上的空气速度低。示例性实施方式中的每一种进一步减少或防止化学气相离开化学区域,并对到达衬底的多颗粒区域提供空气清扫。
在另一种示例性实施方式中,设计的压强差所产生的气流减少或防止颗粒从具有暴露的线性传送带的多个线性衬底运输器迁移。如本文所述,由于摩擦和移动机械部件,因此由线性传送带驱动器滑轨驱动的多个衬底载体往往是颗粒产生器。从滑轨或传送带产生的颗粒理想地可防止落在衬底上。使用颗粒终端速度的控制方程,确定穿过让衬底穿越的水平槽的气流的条件,以将这些衬底衔接到线性滑轨上。对于尺寸小于约50微米的颗粒,确定其所需的气流速度以使其比相关的尺寸范围的颗粒的终端速度流动快。大于50微米的颗粒会以足够高的速率落下,以致他们不能穿越水平槽。设计了挡板使槽流量均匀,即使槽的一端具有抽吸力时也如此。
因此,在一种示例性实施方式中,公开了在衬底生产工具中提供气流管理的系统。该系统包括:外壳,其将衬底生产工具耦合连接到风机过滤器单元以提供过滤的空气到该外壳;将该衬底生产工具耦合连接到减压排放机构的设备连接件;耦合连接到外壳的下方并与设施连接件气流连通的衬底传输部分;以及通过一个或多个衬底传输槽耦合连接到衬底传输部分的衬底处理区域。将基本上含有衬底传输部分和衬底处理区域的室耦合连接到外壳以接收过滤的空气,并耦合连接到设施连接件,以给过量的气体流提供排放通道。该室在衬底处理区域相对于衬底传输部分保持低的压强。
在另一种示例性实施方式中,公开了在晶片处理工具中提供气流管理的系统。该系统包括:提供过滤的空气给晶片处理工具的风机过滤器单元,将晶片处理工具耦合连接到晶片制造设施的减压排放机构的设施连接件,耦合连接到风机过滤器单元的下方并与设施连接件气流连通的晶片传输部分,以及具有化学处理部分的晶片处理区域。通过一个或多个晶片传输槽将晶片处理区域耦合连接到晶片传输部分。处理室基本上包含晶片传输部分和晶片处理区域,该处理室耦合连接到风机过滤器单元以接收过滤空气,且将其与设施连接件耦合连接以给过量的气体流量提供排放通道。处理室在晶片处理区域相对于晶片传输部分保持低的压强。
在另一种示例性实施方式中,公开了在衬底生产工具中提供气流管理系统的方法。该方法包括:提供第一机构以将衬底生产工具耦合连接到风机过滤器单元,以给衬底生产工具提供过滤空气,并提供第二机构以将衬底生产工具耦合连接到减压排放机构,以给在衬底生产工具中的过量的气体流量提供排放通道。将衬底生产工具的衬底处理区域保持在比衬底生产工具的衬底传输部分的压强更低的压强,而将衬底处理区域保持在比减压排放机构的压强更高的压强。将衬底传输部分保持在比减压排放机构的压强更高的压强。
参照图1A,显示了用于处理衬底的设备的部件的分解透视图,该衬底如半导体晶片。显示了处理工具100(通常称作处理工具或其他衬底生产工具),其包括设备前道模块(EFEM)110、处理模块130、和电子器件外壳150。
在操作中,EFEM 110、处理模块130、和电子器件外壳150统一为单个的单元。处理模块130包括处理室131(或其他的其中放置衬底的室类型,诸如,例如,原位计量室)。处理室可包括衬底传输部分和衬底处理区域,详细定义如下,其中在成批的衬底上执行各种处理。该处理可包括各种类型的,例如,在半导体和相关技术领域独立地公知的衬底清洗和湿法蚀刻(例如,化学蚀刻)步骤。此外,处理模块130通常是封闭的,以减少在处理模块130和处理室131内的衬底的任何颗粒、有机、或其他污染。另外,外壳(图中未示出)使设备操作者和在处理模块130内的移动机构之间的危险的相互作用的风险最小化,从而增加了操作者的安全性。工作功率通过电子器件外壳150供给到EFEM 110和处理模块130。
EFEM 110显示出包括一些加载衬底台111、第一操作者控制接口115A和第二操作者控制接口115B。操作者可以从这些控制接口中的一个输入和运行,例如,特定批次的衬底的处理配方。EFEM 110还示出包括放置在加载衬底台111中的一个上的前端开启式统一规格片盒(FOUP)113。FOUP113是特定类型的塑料盒,该盒设计成容纳半导体晶片(例如,通常是硅晶片(Si),但也可以包括由基本半导体材料或化合物半导体材料形成的其他各种晶片类型,基本半导体材料例如锗(Ge),化合物半导体材料如砷化镓(GaAs)或砷化铟(InAs))。FOUP 113在受控环境中可靠地和安全地容纳晶片(未显示)。尽管没有在图1A中明确示出,熟练的技术人员将容易地认识到,FOUP可同时存在于加载衬底台111中的每一个上。一个或多个自动操作装置(未显示)可以与每个FOUP相关联。
当FOUP 113放置在加载衬底台111中的一个上时,EFEM 110内的自动操作装置(未显示)可以直接获取容纳在FOUP 113内的晶片。因此EFEM 110使操作者能够通过,例如,两叶刀片或四叶刀片自动操作装置(未显示,但在本技术领域中独立地公知)从FOUP 113到处理室131将衬底加载和卸载。可以使用一种自动操作装置,例如,模型FC06N,由美国密歇根威克瑟姆的川崎公司(Kawasaki Inc.)(USA)制造,但并不局限于特定类型的自动操作装置。在一种特定的示例性实施方式中,自动操作装置可以包括具有四个3.8毫米刀片且相邻刀片之间的间距大约为10毫米的可折叠末端执行器。10毫米间距与典型FOUP中的晶片与晶片之间的间距相匹配。下面参考图4A、4B和5更详细地介绍在处理室131中发生的各种传输处理的细节。
现参照图1B同时参考图1A,衬底(未显示)通过自动操作装置从FOUP 113运输到一些衬底载体135中的一个上(即,旋转安装式衬底载体),衬底载体135位于钟臂状衬底载体机构上(在图1A和图1B未明确显示,但在下文中参考图2详细描述)。衬底通过衬底传输槽133被加载进入处理室131或卸载出处理室131。
放置在风机过滤器单元(FFU)137中的高效颗粒空气(HEPA)过滤器向处理室131内提供基本清洁的空气。FFU 137位于处理室131的上方以向该室内提供空气流和颗粒压强梯度以减少衬底上和衬底周围的颗粒污染。通过在衬底的上方产生小颗粒无法通行的边界层,该气流部分地减少颗粒污染。如下所述,然后大颗粒和小颗粒从处理室131扫出并进入排气系统。通过使用所产生的压强梯度(下文更详细的描述)也实现了改进的化学密闭度。
虽然本文所描述的FFU 137使用HEPA过滤器,熟练的技术人员将领会,过滤器的其他类型(例如,超低颗粒空气(ULPA)过滤器)可以容易地替换HEPA过滤器,可利用添加的所需要的风机容量解决穿过例如ULPA过滤器的较高的压降。下面参考图6-7H讨论通过FFU 137产生的空气流和压强梯度的计算。处理室131还显示包括平行于FFU 137的长轴运行的离子发生器棒139。离子发生器棒139减少由从FFU 137穿过衬底的气流产生的摩擦所造成的静电电荷,否则静电电荷积累在处理室131内的衬底上。带电荷的衬底更容易吸引电荷相反的颗粒。如本领域的技术人员所公知的,离子发生器减少任何表面(例如,衬底)的电荷的能力是与时间相关的。因此,离子发生器棒139可以相对于衬底(位于离子发生器杆的下方)放置,其中相对长的停留时间(如1秒到5秒,但至少部分依赖于局部的气流)有助于预期产生在衬底上的静电电荷减少。离子发生器棒139可位于处理室131内的其他位置,可以是单极(即,生产阴离子或阳离子)或双极性(即,平衡离子发生器),可以具有其他的尺寸和形状,并可以示例在处理室131内在衬底的上方的多个位置。在特定的示例性实施方式中,离子发生器棒139的长度约64英寸(约1.63米)。
在特定的示例性实施方式中,FFU 137具有约910立方英尺每分钟(cfm,或25.8立方米每分钟)的体积流率,具有相对于HEPA过滤器的出口侧的大约90英尺每分钟(fpm,或大约27.4米每分钟)的表面速度。在其他的实施方式中,FFU 137具有约1300立方英尺每分钟(大约36.8立方米每分钟)的体积流率。HEPA过滤器可以由四氟乙烯(TFE)材料制成,具有在0.3微米的99.99995%的过滤率。如上所述,熟练的技术人员将认识到ULPA过滤器可以有更高的效率(在0.12微米测得)。设计FFU 137和处理室131,使得由于衬底运输而增加的大小为55纳米尺寸或更小尺寸的颗粒少于五个。
继续参考图1B,第一室排气管141和第二室排气管143将颗粒和液体(例如,多余的处理气体,如FFU 137产生的空气,和多余的处理液体)从处理室131的相对的两侧抽吸到横管组件145。在示例性实施方式中,室排气管147提供组成气流使进入横管组件145的颗粒和液体能容易地被抽吸到生产设施内的排气/排水系统(未显示)中。在其他的示例性实施方式中,室排气管147可以可选地与在生产设施内的排气连接件耦合连接以提供空气抽吸力。下文参考图5A和5B对排气系统进行更详细的描述。
图2显示了钟臂状衬底载体机构200的示例性实施方式。钟臂状衬底载体机构200显示包括一些旋转臂201,旋转臂201的每一端具有:衬底载体135中的相关的一个、内轨道部203、外轨道部205、和衬底升降器207。旋转臂201中的每一个可以是独立驱动的,并且,因此,可以独立于旋转臂201中的其余的臂启动、停止、并加速。此外,虽然只显示旋转臂201中的四个,但钟臂状衬底载体机构200可适于装载任何数量的臂。这些臂将至少部分地取决于例如外轨道部205的直径和每个衬底载体135的物理尺寸等物理尺寸。旋转臂201和衬底载体135可根据需要进行缩放以适应给定的衬底尺寸。例如,衬底载体135可以设计为容纳300毫米的硅晶片、100毫米砷化镓(GaAs)晶片,或下一代450毫米的晶片。
在特定的示例性实施方式中,通过物理方式对外轨道部205进行布置以适应从旋转臂201的中点到衬底载体135的中心的30英寸(约760毫米)的半径。如上所述,外导轨部205可依靠采用的旋转臂的数量和所处理的衬底的尺寸合适地设定尺寸。
衬底升降器207可以是在例如半导体工业等中通常公知和通常使用的通用类型。如图所示,两个示例化的升降器207彼此间隔分开约180°。在其他实施方式(未显示)中,可以有更多数量的所使用的衬底升降器207。
此外,衬底升降器207中的一个或两个可旋转180°以通过钟臂状衬底载体机构200对衬底做180°旋转的校正。因此,如下文所讨论的,当在钟臂状载体和线性载体之间移动衬底时,旋转事件将发生。当衬底升降器207中的仅一个旋转180°时,在衬底从钟臂状载体到线性载体的移动中和在衬底从线性载体到钟臂状载体的移动中产生180°旋转。
在通常的操作中,当衬底载体135中的特定的一个定位在衬底升降器207中的一个的上方时,外部自动控制装置(未显示)可以放置晶片在衬底载体上(例如,晶片舟或FOUP 113)或将晶片从衬底载体放置到衬底升降器207上。然后衬底升降器207中所选定的一个将衬底降低到衬底载体135中特定的一个上,且该升降器继续降低其本身足够远,以避免与包含在钟臂状衬底载体机构200内的任何旋转臂201或任何其他移动机构碰撞。
继续参照图2,钟臂状衬底载体机构200还包括所放置的上部化学品释放头211和底部化学品释放头213,以便当衬底经过并靠近上部化学品释放头211和底部化学品释放头213时喷射或施加化学品(例如,如清洁化学品或蚀刻化学品的各种组合)。利用至少两个头使得化学品能够在单次通过时施加到晶片的两个面而不需要翻转衬底。替代地,可安排上部化学品释放头211和底部化学品释放头213同时施加化学品在衬底的两边。熟练的本领域技术人员将领会到可利用任何数量的化学品释放头。
在特定的示例性实施方式中,上部化学品释放头211和底部化学品释放头213设计成每一个呈“扇形”形状,其具有在钟臂状衬底载体机构200的外周缘的比其内周缘更宽的横截面宽度。与内部相比,该扇形形状在衬底的最外部分具有较高的角速度。因此,例如通过增加数量的指向衬底的喷嘴,更多的化学品可被运送到衬底的靠外的部分,从而保证在衬底的每面上均匀的化学品覆盖。
作为本文所描述的各种特征的结果,钟臂状衬底载体机构200可以用于连续流程制造,并适用于在连续衬底之间没有显著时间间隔的处理。如上文所指出的,湿法化学清洗或蚀刻会涉及许多不同的步骤。开始和停止湿法化学过程既难以控制,又浪费,还没有效率。通过使衬底载体135中的每一个以完整的360°弧形行进,钟臂状衬底载体机构200以连续模式处理衬底。与其中没有晶片清洗或处理发生的只提供需要180°返回的线性系统的各种现有技术系统不同的是,钟臂状衬底载体机构200可以同时在相对的两侧平行进行清洗处理。因此,化学品控制可以合用,从而减少系统额外和冗余电路。因此,相比于现代线性系统,化学品可以节省高达300%(即,使用的化学品减少到四分之一)。
在处理室131(见图1B)内,至少两个并行的处理同时发生:化学品控制和衬底运动。如下参照图3所更详细描述的,衬底载体135的速度和加速度的独立控制使得能够有退出步骤,以及能够基本同时地装载和卸载一个或多个衬底。又如下文所更详细描述的,当载体已装载或卸载时,衬底载体135的独立控制进一步使载体能够加速以跟上工艺流程。
现在参考图3,其显示了衬底横移件机构300的示例性实施方式,衬底横移件机构300包括:上部轨道对301、底部轨道对303、右置衬底载体对305、和左置衬底载体对307。如图所示,衬底载体在互相平行的不同的平面是可移动的,并且其位于平行于钟臂状衬底载体机构200的旋转臂201的平面中。下面还显示了载体中的每一个容纳半导体衬底311,其仅为了帮助描绘衬底的整体运动和传输。在图3中还显示了相对于衬底传输槽133,衬底横移件机构300坐落的位置的标示。
右置衬底载体对305和左置衬底载体对307中的每一个由电机309独立地以线性方式驱动。该电机可以从多种电机类型中选择。例如,在特定的示例性实施方式中,电机309中的每个可以是标准NEMA 23框架尺寸,如具有积分编码器的SM2315D伺服电机(可从美国加利福尼亚圣克拉拉帕特里克3200的动画公司(Animatics Corporation)获得)。虽然没有明确示出,载体由马达309驱动,马达309通过线性驱动器(例如,线性带驱动系统)与特定载体相关联。这种线性驱动器系统在本领域中是独立地公知的。例如,可采用
Figure BDA00002440826700111
EGC-50带驱动线性驱动器(由联邦德国埃斯林根鲁伊特大街82的FESTO KG制造)作为用于衬底横移件机构300的载体驱动机构。
如本文在各种示例性实施方式所描述的,显示衬底横移件机构300只有特定数量的轨道、衬底载体、电机、以及相关的驱动机构。然而,本领域的技术人员将认识到,本文所描述的构思可以很容易推广到任何数量的轨道和衬底载体。
现参照图4,示例性实施方式显示了与衬底横移件机构300(见图3)结合在一起的钟臂状衬底载体机构200(见图2)的俯视图400。在该示例性实施方式中,衬底横移件机构300在钟臂状衬底载体机构200上方运行。
现在同时参考图2和图4,对结合的钟臂状机构和横移件机构的示例性操作进行描述。在处理室131中对衬底进行处理之后(见图1B),旋转臂201中的一个在衬底升降器207中的一个的上方暂时停止(例如,升降器位于衬底传输槽133的对面)。衬底升降器207将半导体衬底311从位于旋转臂201上的衬底载体135升高。如果没有到位,衬底横移件机构300上的衬底载体中的一个,例如,右置衬底载体305中的一个,横向移动到衬底升降器207后面的位置(即,在衬底传输槽133另一侧的横梁端极限位置或其附近)。然后衬底升降器207将半导体衬底311升得足够高以清理右置衬底载体305的最上的载体表面。接着载体横向移动以接收(即,使载体在载有衬底的升降器下方居中)半导体衬底311,且衬底升降器207降低,从而使衬底放置到右置衬底载体305上。衬底升降器207继续降低到由衬底载体135的最低的部分形成的平面以下。在这时间点上,先前停止的旋转臂201可以被移动到另一个位置。当半导体衬底311安置到右置衬底载体305上时,通过自动操作装置(未显示)可以将衬底线性运输到衬底运输槽133并转移回到在FOUP 113内的槽上(见图1A)。
与刚才所描述的衬底移除过程基本同时发生,未经处理的衬底可以通过自动操作装置从FOUP 113移除,或放置在例如左置衬底载体307中的一个上。(注意,再次参照图3,左置衬底载体307可被视为脏衬底的载体而右置衬底运载305可被视为干净衬底的载体)。使用衬底升降器207中的一个,可在现在停止的旋转臂201中的一个上的衬底载体上放置未经处理的衬底。例如,未经处理的衬底可以放置在如上所述处理好的衬底刚被移除的同一衬底载体135上。(注意,继续参照图3,横移件机构300上的衬底载体中的每一个在彼此不同的海拔高度上横向移动,从而避免从处理室131移除的处理好的衬底和进入处理室131的未经处理的衬底之间的干扰。)替代地,未经处理的衬底可以放置在已处理的晶片被移除的旋转臂201的另一端的衬底载体上。在另一种替代方式中,未经处理的衬底可以放置在任何旋转臂201的任意一端的衬底载体上。作为本领域的技术人员将认识到可以添加另外的旋转臂、衬底升降器和线性衬底载体以进一步提高衬底吞吐量。
此外,所描述的钟臂状衬底载体机构200和衬底横移件机构300的设计使每个衬底的传递能够是单轴(single axis)运动。例如,传递需要两部分,需要第一机构以传输衬底和第二机构以接收衬底。然而,如上所述,两个机构中的一个是不动的(即,它是固定的),由于两个机构之间的通信问题显著减少(例如,由于机构不动没有那么严格的时序问题),从而提高了衬底转移操作的可靠性。因此,自动操作装置总是有相对固定的位置,其通过该位置移动衬底。该固定位置与充足的时间间隔(缘于钟臂状衬底载体机构200的旋转臂201之间互相是彼此独立的)耦合。因此,可以很容易地实现每小时500个衬底以上的高吞吐量。此外,除了自动操作装置,这里讨论的所有的运动是单轴的以使钟臂状衬底载体机构200和衬底横移件机构300能够相对便宜地制造。
注意,右置衬底载体305和左置衬底载体307的C形结构使衬底升降器207中的任一个能够升高或降低而不干扰衬底载体。当垂直抬高衬底升降器207时,衬底升降器207的触手可在衬底载体135中的孔中横向移动。当衬底升降器207继续抬高时,左置衬底载体307可以横向移动,直到其与衬底升降器207的触手同心(即,居中)以及,因此,与半导体衬底311同心。然后,衬底升降器207降低且半导体衬底311随后由左置衬底载体307获得并支承。虽然此处所述的发明主题的方案不需要C形结构来运行,但本领域技术人员将认识到C形载体的一些操作优势。此外,本领域技术人员将理解,因为所有的旋转臂201可以相互独立地移动,所以,当这些臂中的一个停止以加载或卸载时,其他臂可以继续移动,从而大大提高整体系统的效率和吞吐量。
现在参照图5A,处理室131(参照图1B)的下部室部分500的透视图,其示出了与图4的示例性钟臂状衬底载体机构和衬底横移件机构一起使用的示例性的处理室的排气和排水位置。虽下部室部分500示出包括用于衬底升降器207(参照图2)的成对的开口501,但其实际上并不是排气或排水系统的一部分。由于成对的开口501不是排气或排水系统的一部分,所以它们示出主要是为了图5A的完整性,但是,例如约50cfm(约1.4立方米每分钟)的空气流可以被抽吸通过成对的开口501中的每一个,以进一步减少对衬底的潜在污染。
还示出了下部室部分500包括一些外部横移件排气口503A、503B,一些处理排气口505A、505B,一些内部横移件排气口507A、507B和成对的处理排水口509。各种排气口和排水口布置为使来自FFU 137(参照图1B)气流能够减少在处理室131内的颗粒数以及提供化学品密闭性能。下面参照图7A-7G,提供关于在处理室131内的气流和压强梯度的分析的另外的细节。
图5B示出了示例性的处理室的排气和排水系统550的透视图,安置系统550与图5A的下部室部分500下方机械地耦合连接。因而图5B使熟练的技术人员更好地理解图5A的不同的排气口和排水口与图1B的处理模块130如何互连的关系。处理室的排气和排水系统550还示出包括入口处理排水系统歧管551、横排式管(p-trap)553和第二容纳托盘555。入口处理排水系统歧管551在横管组件145的下方与处理排水口中的每一个耦合连接。横排式管553提供了基本上气密的密封,该密封由在横排式553的最下面部分内的固定存在的液体产生。该固定存在的液体防止从连接处到生产设施的任何气体回流进入处理室131,从而减少来自回流气体的任何化学污染或其他的污染。第二容纳托盘555接收可能会从处理模块130泄漏或滴出的液体。在示范性实施方式中,聚偏二氟乙烯(PVDF)材料可以用于化学排水管线,而氯化聚氯乙烯(CPVC)材料可被用于各种气流管线。本领域的技术人员将认识到也可以采用在本领域中独立地公知的其他的材料。
在具体的示例性实施方式中,约120cfm(约3.4立方米每分钟)的体积气体流量从处理室131中的化学区中的每一个引出,加上来自处理室131两者的非化学区的额外的160cfm(约4.5立方米每分钟)(合并)共约400cfm(约11立方米每分钟)来自室。气流除了减少处理室131内的在衬底上的颗粒污染外,还有助于减少化学品从一个室到另一个室时的溢出,并从而降低了否则可能会泄露到生产设施中的化学气相的量。在这个特定的示例性实施方式中,总的气流可以至少部分地分解如下。外部横移件排气口503A中的每一个引入约24cfm(约0.68立方米每分钟)和外部横移件排气口503B每个引入26cfm(约0.74立方米每分钟)。内部横移件排气口507A中的每一个引入约12.5cfm(约0.35立方米每分钟)以及内部横移件排气口507B每个引入约25cfm(约0.71立方米每分钟)。处理排气口505A每个引入约48cfm(约1.4立方米每分钟),处理排气口505B每个引入约52cfm(约1.5立方米每分钟)。
在第一室排气管141中的处理排气口505A中的每一个的附近存在约0.5英寸H2O(约0.9托)的负表压,并且第二室的排气管143中,具有稍微更高的约0.6英寸(约1.1托)H2O的负表压存在于处理排气口505B中的每一个的附近的排气管中。从第一室排气管141进入横管组件145的排气压为约0.8英寸H2O(约1.5托),而从第二室排气管143进入横管组件145的排气压为约1.2英寸H2O(约2.2托)的稍微更高的负压。处理排水端口509每个引出约6cfm(约0.17立方米每分钟)。室排气管147到设施接口的连接处的负排气压强为约1.7英寸H2O(约3.2托),体积抽吸率为约400cfm(约11立方米每分钟)。虽然没有明确示出,可以在室排气管147内利用压强传感器验证室的气流。例如,如果负排气压强低于预定水平,压强传感器可以硬连接到处理工具100的第一操作员控制接口115A(参见图1a)处,以防止系统启动或继续操作。
现在同时参考图1B和图6,示例性的空气处理示意图显示了从图1A的EFEM 110和处理模块130流入和流出的空气体积流率。本领域的技术人员将认识到所有的体积流率仅仅是近似的,并且给出这些体积流率作为了解系统的整体设计的辅助。可以采用其他的流率。在示例性实施方式中,910cfm(约25.8立方米每分钟)的体积流率通过一个或多个风机601产生而进入到HEPA过滤器603(两者都在FFU 137内)。最初的910cfm的流率中,约590cfm(约16.7立方米每分钟)直接流过横移件空间607,176cfm(约4.98立方米每分钟)流入室空间609,且145cfm(约4.10立方米每分钟)干净的多余的气流通过耦合到FFU 137下方的防护罩的下面的周缘缝隙753(参照下面的图7B)返回到设施环境中。在示例性实施例中,周缘缝隙753为大约12毫米的高度,并围绕防护罩的周边形成。如果一个或多个风机601具有减小的空气体积输出,通过周缘缝隙753的多余的气流具有约1米每秒的出口速度,并有助于确保在所有时间提供足够的气流到横移件和室空间。
继续参考图1B和图6,EFEM端口605添加另外90cfm(约2.5立方米每分钟)到横移件空间607中,从而保持位于衬底加载台(参照图1)上的任何半导体衬底311上(参照图3)的压强比横移件空间607的压强更高,并减少或防止任何化学品或颗粒污染从处理室131倒流入EFEM 110,并且,因此进而减少或防止其进入生产设施。参照室空间609,横移件空间607保持在正压强。通过横移件压强计619A对压强进行监测。横移件压强计619A可与操作员控制接口(例如,图lA的操作员控制接口115A)电子耦合连接。
由于横移件空间607内的正压强,64cfm(约1.8立方米每分钟)的空气流量从横移件空间607进入室空间609,且60cfm(约1.7立方米每分钟)的空气流量进入到导轨槽空间611(含有内轨道部分203和外轨道部分205,参阅图2)。64cfm的体积气流通常是在与载体相同的平面上并保持在防止室内产生湍流的速度,但保持在比载体速度更大的速度以防止带出化学气相,其介于约3英尺每秒和10英尺每秒(约1米每秒和3米每秒)之间。由于内轨道部分203和外轨道部分205机械地引导旋转臂201,在滑动部件的各自的表面会合的地方会产生一些颗粒污染。因此,60cfm的气流提供气流以将颗粒从导轨槽空间611洗刷到清洗排放装置617。保持清洗排放装置617相对于系统的其余部分在约1至2英寸的H2O(约1.9至3.7托)的负压。横移件空间607从成对的横移件排气风机411产生另外的120cfm(参见图4B)。图6标明了该120cmf(约3.4立方米每分钟)分散到周围环境。然而,本领域技术人员将认识到成对的横移件排气风机411的排气可选地定向到地漏系统空间613。所产生的到横移件空间607的剩余的436cmf(约12.3立方米每分钟)直接定向到地漏系统空间613。
再参考室空间609,室空间609还由室压强计619B监测。如上文所指出的,横移件压强计619A和室压强计619B确保了颗粒污染和化学污染完全从横移件空间607和室空间609分别扫除。
在进入室空间609的240cmf(约6.8立方米每分钟)中,气流的可调节的部分被引导到化学品头空间615(涉及到图2的化学品释放头)和导轨槽空间611,多余的被引导到清洗排放装置617。基本所有其他气流(该气流潜在地被化学物质或颗粒污染)通过地漏系统(FDS)613回流到设施或清洗排放装置617内,这不同于145cfm的干净过量的气流通过周边缝隙753返回到该设施的周围环境。
现在参照图7A并继续参照图6,示出了图1B的处理室131的整体组件的示例性透视图700(部分剖开)和示例性的俯视图730。如在上文参照图5B和图6所述,各种气流和压强梯度测定的计算流体动态(CFD)建模中使用该整体组件。如示例性透视图700所示,其包括用于FFU 137(参见图1B)的外壳区域703,FFU 137包括一个或多个风机601和HEPA过滤器603。保护罩701将来自外壳区域703的气流耦合连接到衬底横移件机构(为保留清晰度未明确示出)并进入处理室131。载体臂通道槽705有助于相对于室空间609在横移件空间607中保持较高的压强,从而帮助保持在处理室131的外部的化学品密闭度。在操作过程中,图2的旋转臂201通过载体臂通道槽705移动。示例性俯视图730被示为包括化学区域731,图2的化学品头可以位于化学区域731中。
现在参考图7B,透视图750和侧视图770(这两个视图都在对称线的一侧)显示图1B的处理室的流体域,该流体域在利用图7A的整体组件的计算流体动态建模中使用。图7B提供了对随后的各种CFD模型流程图和压强图表的全面理解。通常而言,来自FFU 137的主进气口751和来自EFEM 110的次级空气入口757的组合将气流传输进入图1B的处理室131。如上文参照图6所述的周缘狭缝753使干净多余的气流755回到生产设施中。示出上部化学品释放头211和处理排气口505A、505B以协助本领域技术人员理解这里所描述(例如,图1B-5B)的各种图中的组件之间的关系。如参照图6所讨论的,上面描述的各种排气气流中的每个最终基本上发送到FDS出口,进而提供给排气气流出口759。
图7C至图7H列为辅助手段以协助CFD建模技术领域的技术人员更全面地了解和领会参考图5B和图6所描述的发明主题的各个方面。例如,图7C示出了在图1B的处理容器内的总体气流运动的流体域中的计算出的颗粒轨迹的透视图750、俯视图790,和侧视图770(所有的三个视图都在对称线的一侧)。本领域的技术人员将认识到,关于图6的空气处理概略图,所计算的颗粒轨迹确证了上面给出的概括描述。
图7D示出了计算出的压强场的透视图750和俯视图790(这两个视图都在对称线的一侧),以验证在处理室131内的相对的压强差的位置。例如,计算出的压强场验证了颗粒和化学限制区域。化学气相以及更小的颗粒(例如,小于50微米)基本上包含在具有降低的压强(即,相对于其他周围空间更高的负压强)的区域。
图7E显示了来自在处理容器内的HEPA入口的总的空气流的运动的计算出的压强场的透视图(在对称线的一侧),而图7F同样显示从在室入口的保护罩狭缝到各个出口的总空气流的运动。
图7G示出了来自HEPA入口和周边缝隙753(参照图7B)的围绕在处理室的一部分内的离子发生器棒139中的一个的总的空气流的计算出的速度流量场的侧视图(在对称线的一侧)。同样,图7H示出了来自HEPA入口和在处理室内的周边缝隙753的压强梯度的计算出的压强场的侧视图(在对称线的一侧,且没有离子发生器棒139)。
本领域的技术人员将认识到由图7B至7H的流量和压强图所示的每一个计算出的流量和压强的场被认为是根据图5B的示例性处理室排气和排水系统和图6的示例性空气处理示意图的物理布局,以更充分地理解本文所描述的各种示例性实施方式。本领域的技术人员将进一步认识到,本文所提供的各种描述中,处理工具中的气流管理的示例性系统可以在各种工具和在工艺线中的多个点上实现。本领域技术人员将进一步认识到,该系统可以容易地纳入在典型的生产设施的各部分(例如,前道生产线、后道生产线、和测试操作)中的多个处理和测量工具中。
此外,虽然已经参照特定的示例性实施例描述了本发明的主题的概貌,但是在不脱离本发明主题方案的更广义的主旨和范围的情况下,可以对这些实施方案做出各种修改和改变。如果有一个以上的发明实际上是公开的情况下,那么仅仅是为了方便起见,而可能使用术语“发明”单独地或集体地指称在本文中本发明主题的这些实施方案,但并不意图主动将本申请的范围限制到任何单个的发明或发明的构思。本文所示出的实施方式以足够的细节进行描述,以使本领域技术人员能实践所公开的教导。也可以使用其它的实施方式和从其中衍生其他的实施方式,以使在不脱离本公开的范围下可以做出结构的和逻辑的替代和变化。因此,本具体实施方式部分,不应被认为具有限制意义,并且各种实施方式的范围仅由所附权利要求限定,以及由这些权利要求有权包含的等同方案的全部范围限定。
此外,多个实例可以作为单个实例提供给本文所描述的结构元素或操作。功能的其他配置是能设想到的。其他配置会落入本发明的主题的各种实施方式的范围内。在一般情况下,作为示例性配置中的分开的资源展示的结构和功能可以实现为组合的结构或资源。同样,作为单个的资源展示的结构和功能可以作为分开的资源被实现。
此外,与半导体工业关联的许多行业可以使用本文所描述的系统和技术。例如,数据存储行业中的薄膜头(TFH)工艺、在平板显示器行业的有源矩阵液晶显示器(AMLCD)、或微机电(MEM)行业可容易地利用所描述的系统和技术。因此,术语“半导体”应当被认为包括上述及相关行业。这些和其他的变化、修改、增加和改进落入如所附的权利要求所提出的本发明的范围内。本说明书和附图,相应地,应被视为用作说明性的而不是限制的意义。

Claims (15)

1.一种衬底生产工具中的气流管理系统,所述系统包括:
外壳,其将所述衬底生产工具耦合连接到风机过滤器单元,所述风机过滤器单元给所述外壳提供过滤空气;
设施连接件,其将所述衬底生产工具耦合连接到减压排放机构;
衬底传输部分,其耦合连接在所述外壳下方,并与所述设施连接件气流连通;
衬底处理区域,其由一个或多个衬底传输槽耦合连接到所述衬底传输部分;
室,其基本含有所述衬底传输部分和所述衬底处理区域,所述室耦合连接到所述外壳以接收所述过滤空气,并耦合连接到所述设施连接件以给过量气体流量提供排放通道,所述室配置成在所述衬底处理区域中相对于所述衬底传输部分保持低的压强。
2.根据权利要求1所述的系统,其中,布置所述一个或多个衬底传输槽以使得相对于所述衬底传输部分在所述衬底处理区域中能有所述低的压强。
3.根据权利要求1所述的系统,其中,所述室进一步配置为相对于所述设施连接件在所述衬底传输部分中保持高的压强。
4.根据权利要求1所述的系统,其中,所述室进一步配置为在所述衬底处理区域中相对于所述设施连接件保持高的压强。
5.根据权利要求1所述的系统,其中,所述室还包括衬底端口以从衬底盒接收进出所述室的多个衬底,所述衬底端口相对于所述衬底盒保持在低的压强。
6.根据权利要求1所述的系统,其中,所述衬底处理区域包括化学处理部分。
7.根据权利要求6所述的系统,其中,所述室配置成在所述衬底处理区域中相对于所述衬底传输部分保持低的压强以基本控制化学气相在所述化学处理部分内而不进入所述衬底传输部分。
8.根据权利要求1所述的系统,进一步包括基本包含在所述衬底传输部分内的线性衬底横移件机构,所述线性衬底横移件机构具有安装在其上的多个线性衬底载体,所述多个线性衬底载体中的每一个配置成在基本互相平行的平面上传输衬底,所述多个线性衬底载体中的每一个在互相不同的平面。
9.一种晶片处理工具的气流管理系统,所述系统包括:
风机过滤器单元,所述风机过滤器单元将过滤空气提供给所述晶片处理工具;
设施连接件,其将所述晶片处理工具耦合连接到晶片生产设施的减压排放机构;
衬底传输部分,其耦合连接在所述风机过滤器单元下方,并与所述设施连接件气流连通;
晶片处理区域,其具有化学处理部分,所述晶片处理区域通过一个或多个晶片传输槽耦合连接到所述晶片传输部分;
处理室,其基本含有所述晶片传输部分和所述晶片处理区域,所述处理室耦合连接到所述风机过滤器单元以接收所述过滤空气,并耦合连接到所述设施连接件以给过量气体流量提供排放通道,所述处理室配置成在所述晶片处理区域中相对于所述晶片传输部分保持低的压强。
10.如权利要求9所述的系统,其中,所述处理室配置成在所述晶片处理区域中相对于所述晶片传输部分保持低的压强以基本控制化学气相在所述化学处理部分内而不进入所述晶片传输部分。
11.根据权利要求9所述的系统,其中,布置所述一个或多个晶片传输槽以使得相对于所述晶片传输部分在所述晶片处理区域内能够有所述低的压强。
12.根据权利要求9所述的系统,进一步包括基本包含在所述晶片传输部分内的线性晶片横移件机构,所述线性晶片横移件机构具有安装在其上的多个线性晶片载体,所述多个线性晶片载体中的每一个配置成在基本互相平行的平面上传输晶片,所述多个线性的晶片载体中的每一个在互相不同的平面。
13.一种在衬底生产工具中提供气流管理系统的方法,所述方法包括:
提供第一机构以将所述衬底生产工具耦合连接到风机过滤器单元,所述风机过滤器单元提供过滤空气给所述衬底生产工具;
提供第二机构以将所述衬底生产工具耦合连接到减压排放机构,所述减压排放机构给所述衬底生产工具内的过量气体流量提供排放通道;
保持所述衬底生产工具的衬底处理区域在比所述衬底生产工具的衬底传输部分的压强更低的压强;
保持所述衬底处理区域在比所述减压排放机构的压强更高的压强;以及
保持所述衬底传输部分在比所述减压排放机构的压强更高的压强。
14.根据权利要求13所述的方法,进一步包括在所述衬底处理区域和所述衬底传输部分之间提供一个或多个衬底传输槽,以使在所述衬底处理区域中能够相对于所述衬底传输部分有所述低的压强。
15.根据权利要求13所述的方法,进一步包括相对于彼此基本水平地安置所述衬底传输部分和所述衬底处理区域以减少所述衬底传输部分和所述衬底处理区域之间的颗粒传输。
CN201180025217.2A 2010-03-24 2011-03-23 用于处理工具中低颗粒数的气流管理 Active CN102906855B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/730,868 US8893642B2 (en) 2010-03-24 2010-03-24 Airflow management for low particulate count in a process tool
US12/730,868 2010-03-24
PCT/US2011/029623 WO2011119729A2 (en) 2010-03-24 2011-03-23 Airflow management for low particulate count in a process tool

Publications (2)

Publication Number Publication Date
CN102906855A true CN102906855A (zh) 2013-01-30
CN102906855B CN102906855B (zh) 2015-09-02

Family

ID=44654981

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180025217.2A Active CN102906855B (zh) 2010-03-24 2011-03-23 用于处理工具中低颗粒数的气流管理

Country Status (7)

Country Link
US (2) US8893642B2 (zh)
JP (1) JP5734409B2 (zh)
KR (1) KR20130010903A (zh)
CN (1) CN102906855B (zh)
SG (1) SG184199A1 (zh)
TW (1) TWI509724B (zh)
WO (1) WO2011119729A2 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
EP2396339B1 (en) * 2009-02-11 2014-07-02 Dow AgroSciences LLC Pesticidal compositions
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US9958424B2 (en) * 2012-10-01 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of identifying airborne molecular contamination source
CN112892093B (zh) * 2021-01-25 2022-05-10 广东钜宏科技股份有限公司 一种风量均匀的ffu装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5188136A (en) * 1990-11-17 1993-02-23 Tokyo Electron Limited Cleaning device
KR20060066415A (ko) * 2004-12-13 2006-06-16 삼성전자주식회사 기판 이송 장치
TW200947596A (en) * 2008-01-08 2009-11-16 Tdk Corp Contained object transfer system

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (fr) 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPH0237742A (ja) 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置
JP2706665B2 (ja) 1990-01-18 1998-01-28 東京エレクトロン株式会社 基板移載装置及び処理装置
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
EP0496006A1 (en) 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JPH04290454A (ja) 1991-03-19 1992-10-15 Fujitsu Ltd 基板処理装置および基板搬送方法
JP3309416B2 (ja) 1992-02-13 2002-07-29 松下電器産業株式会社 連結式クリーン空間装置
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (ko) 1993-04-12 1999-04-15 마스다 쇼오이치로오 크린장치가 부착된 하물보관설비
DE4340522A1 (de) 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
US5979475A (en) 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
WO1997035690A1 (fr) 1996-03-22 1997-10-02 Komatsu Ltd. Robot de manutention
JP3218425B2 (ja) 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JP3437734B2 (ja) 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
DE19882662T1 (de) 1997-08-28 2000-08-03 Cvc Products Inc Wafertransportvorrichtung für Mehrstationswerkzeug
US6155768A (en) 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
KR100625485B1 (ko) 1998-02-18 2006-09-20 어플라이드 머티어리얼스, 인코포레이티드 처리 시스템 내 웨이퍼 핸들러를 위한 엔드 이펙터
JP2000021947A (ja) 1998-06-30 2000-01-21 Sony Corp 乾式処理装置
US6158951A (en) 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
JP3212951B2 (ja) 1998-10-22 2001-09-25 兼房株式会社 分割切削型チップソー
JP2000174091A (ja) 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US6453214B1 (en) 1998-12-02 2002-09-17 Newport Corporation Method of using a specimen sensing end effector to align a robot arm with a specimen stored on or in a container
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6429139B1 (en) 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (nl) 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
KR100433067B1 (ko) 2001-01-22 2004-05-27 주식회사 라셈텍 반도체 제조장치
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
US6663333B2 (en) 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
JP2003229466A (ja) 2002-02-04 2003-08-15 Seiko Instruments Inc 真空処理装置
US20030202865A1 (en) 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004193418A (ja) 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
JP2004200329A (ja) 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR100483428B1 (ko) 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
JP4468021B2 (ja) 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
JP4290454B2 (ja) 2003-03-28 2009-07-08 三井化学株式会社 ガス拡散電極の製造方法、電解槽及び電解方法
JP3680083B2 (ja) 2003-09-26 2005-08-10 バンドー化学株式会社 伝動ベルト用プーリ及びベルト伝動装置
JP4272232B2 (ja) 2003-10-08 2009-06-03 セメス・カンパニー・リミテッド 多層構造を有する基板洗浄設備
JP2005131772A (ja) * 2003-10-31 2005-05-26 Ebara Corp ポリッシング装置
US20080003171A1 (en) 2004-04-20 2008-01-03 Smith William L Microbial Control Using Hypochlorous Acid Vapor
WO2005121027A2 (en) 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
KR101279819B1 (ko) 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 방사-편향 연마 패드
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
US20070218197A1 (en) 2006-03-15 2007-09-20 Yoichi Kurono Vacuum processing system and method of making
KR100814238B1 (ko) 2006-05-03 2008-03-17 위순임 기판 반송 장치 및 이를 이용한 기판 처리 시스템
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100818044B1 (ko) 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US20080175694A1 (en) 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US7644757B2 (en) 2007-07-02 2010-01-12 Stinger Wellhand Protection, Inc. Fixed-point packoff element with primary seal test capability
KR20100056468A (ko) 2007-07-17 2010-05-27 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
JP4359640B2 (ja) 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
WO2011022870A1 (zh) 2009-08-24 2011-03-03 Wang Lvsha 为高强度气体放电灯配置的镇流控制装置及镇流装置
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5188136A (en) * 1990-11-17 1993-02-23 Tokyo Electron Limited Cleaning device
KR20060066415A (ko) * 2004-12-13 2006-06-16 삼성전자주식회사 기판 이송 장치
TW200947596A (en) * 2008-01-08 2009-11-16 Tdk Corp Contained object transfer system

Also Published As

Publication number Publication date
US20110232771A1 (en) 2011-09-29
TWI509724B (zh) 2015-11-21
US9321000B2 (en) 2016-04-26
KR20130010903A (ko) 2013-01-29
SG184199A1 (en) 2012-10-30
CN102906855B (zh) 2015-09-02
JP5734409B2 (ja) 2015-06-17
WO2011119729A2 (en) 2011-09-29
JP2013526009A (ja) 2013-06-20
TW201207981A (en) 2012-02-16
US8893642B2 (en) 2014-11-25
WO2011119729A3 (en) 2012-01-19
US20150040757A1 (en) 2015-02-12

Similar Documents

Publication Publication Date Title
CN102906856B (zh) 减少在处理工具中通过移动机构所产生的颗粒污染
CN102906855B (zh) 用于处理工具中低颗粒数的气流管理
KR102297447B1 (ko) 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
JP4344593B2 (ja) ミニエンバイロメント装置、薄板状物製造システム及び清浄容器の雰囲気置換方法
JP3425592B2 (ja) 処理装置
US11630392B2 (en) Substrate processing apparatus
US20050191155A1 (en) Sheet-like electronic component clean transfer device and sheet-like electronic component manufacturing system
JP2010509784A (ja) 環状に配置されているワークピースストッカ
US20200198093A1 (en) Substrate polishing apparatus
US9563136B2 (en) Yellow room system
US10161033B2 (en) Method for cleaning load port of wafer processing apparatus
TW202029388A (zh) 裝載埠模組
US20230395414A1 (en) System and method for measuring magnetic fields in pvd system
KR102096948B1 (ko) 기판 처리 설비
JPH11233392A (ja) 基板処理装置
TW202028096A (zh) 裝載埠模組
WO2005104220A1 (ja) ワークの枚葉処理システムにおけるクリーントンネル
JP2003297763A (ja) 半導体製造装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant