CN102223765A - 一种基底制造装置及其制造方法 - Google Patents

一种基底制造装置及其制造方法 Download PDF

Info

Publication number
CN102223765A
CN102223765A CN2011100923380A CN201110092338A CN102223765A CN 102223765 A CN102223765 A CN 102223765A CN 2011100923380 A CN2011100923380 A CN 2011100923380A CN 201110092338 A CN201110092338 A CN 201110092338A CN 102223765 A CN102223765 A CN 102223765A
Authority
CN
China
Prior art keywords
substrate
gas
manufacturing
area
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011100923380A
Other languages
English (en)
Inventor
麦可·巴讷斯
约翰·后兰
杉宏清
布瑞恩·Y·普
摩喜特·杰恩
苏立范
麦可·D·阿马寇斯特
韩森·E·奈尔
戴安娜·玛莉黛欧并
阿修克·K·信哈
丹·麦登
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102223765A publication Critical patent/CN102223765A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

本发明公开了一种基底制造装置,其包括一个制造腔室,此制造腔室具有一个基底支座、一个气体配管、一个气体增能器、一个气体排出口。此装置亦具有一个制造监测器,是用来监测基底的第一区域上的图案,并产生一个第一信号,还用来监测基底的第二区域上的图案,并产生一个第二信号。此装置还包括一个腔室控制装置,是用来接收并评估该第一信号与该第二信号,并依据该第一信号和该第二信号操作腔室。例如,腔室控制装置可依据这些信号值选择一个制造处方(process recipe)。腔室控制装置亦可设定一个制造参数,其是将制造腔室的第一制造区块设定在第一水平,而将制造腔室的第二制造区块设定在第二水平。此装置可提供一个密闭控制回路以分别监测、控制基底不同区域上的图案所进行的制造。

Description

一种基底制造装置及其制造方法
本发明是申请日为2004年8月20日,申请号为200410057589.5,发明名称为“一种基底制造装置及其制造方法”的分案申请。
技术领域
本发明是有关于一种监测和控制基底的制造方法。
背景技术
先进的电子电路技术所需要的基底图案的尺寸愈来愈细、小,例如较窄的内联机和较高的高宽比的介层窗,典型的基底是一半导体或是介电层,其在进行制造之后可在基底上形成多个图案,而图案的材质例如是介电材料、半导体材料或是导体材料。图案的尺寸较小,可在较小的面积上容纳较多的图案并且可在较高的频率下操作。例如,含金属的内联机的尺寸通常约小于0.18nm,且有时候甚至小于0.15nm。然而,要制造如此小的图案而其尺寸和形状在整个基底上又具有一致性,特别是图案尺寸愈来愈小的时候,其制造愈来愈困难。在这种制造中,基底表面的制造变量中无法预测的变化可能来自于基底表面的不同区域上的图案具有不同的尺寸。由于图案的电性或其它的特性随着基底位置而改变,这将难以适当地设计电路或是显示器。
制造微细图案的问题是微细图案的容忍度范围远小于普通的图案,其制造相当困难。基底上的图案的尺寸或形状的变异量,原来是普通较大尺寸的图案可以接受的,然而,在制造微细图案时却无法再被接受。当图案的关键尺寸随着所在的基底表面的位置而有所不同时,图案的形状的改变是一个特殊问题。关键尺寸指对于图案的电性有重大影响的尺寸。例如,内联机的线宽即是一种关键尺寸,因为如果部分的内联机被过度蚀刻,线宽特别窄的部分会有较高的阻值。甚至,内联机图案其在尺寸上或是侧壁的倾斜角度上有微小的改变,亦可能造成电性超过可容忍的范围。因此,相较于普通的电路,具有微细尺寸的图案的电路将因为无法符合尺寸的可容忍范围而报废无法使用。
因此,目前需要可以在基底上形成微小尺寸的图案,且图案的形状和尺寸一致的制造方法和制造装置。而且,亦需要一种能确保所形成的图案具有均匀的关键尺寸而与图案所在的基底表面的位置无关的制造方法或装置。另外,亦需要一种可以蚀刻超细图案且制造产量和产率皆佳的制造方法和装置。
发明内容
本发明的目的是提供一种装置和方法,以克服当前技术无法确保在基底上形成的微小图案具有均匀的关键尺寸的缺陷。
本发明提出一种基底处理装置,其包括一制造腔室,此制造腔室包括一个基底支座、一个气体配管以及一个气体增能器。其中基底支座是用来承载基底,而基底具有一个第一区域和一个第二区域。一个气体配管是用来将一气体导入该制造腔室中。气体增能器是用来使该气体增能,以在该基底上形成多个图案。气体排出口,是用来排出该气体。此装置亦具有一个制造监测器,用以监测该基底的该第一区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第一信号,并且监测该基底的该第二区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第二信号。此装置还包括一个腔室控制装置中具有程序化编码,所述具有程序化编码的腔室控制装置是依据该第一信号和该第二信号,以由该制程制造控制装置的一内存中所储存的一查询表的多个制造处方中选择一制程制造处方,用以接收该第一信号与该第二信号,并操作该基底支座、该气体配管、该气体增能器或该气体排出口,以设定多个制造参数,其中多个制造参数包括一个或多个气体流率、气体压力、气体增能电源的水平以及基底温度,以对多个第一区域与第二区域的图案进行制造,补偿形成在多个第一和第二区域上的图案其尺寸上的差异。
本发明亦提出一种基底的制造方法,此方法将一个基底置于一制造腔室的一制造区(process zone)中,该基底具有一个第一区域和一个第二区域,并在制造区中通入一制造气体,然后使制造气体增能,以在基底上形成具有间隔开且分离开的多个图案(feature)的图形(pattern),之后再排出制造气体。接着,监测基底的第一区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第一信号,并且监测该基底的该第二区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第二信号。然后,评估第一信号和第二信号,并设定制造区的多个制造参数,以处理第一区域和第二区域的图案,补偿图案的尺寸的差异性,其中制造参数包括一个或多个气体流率、气体压力、气体增能电源的水平以及基底温度。
本发明再提出一种蚀刻基底的装置,此装置具有一蚀刻腔室,此蚀刻腔室具有一个基底支座、一个气体配管、一个气体增能器与一个气体排出口。其中基底支座是用来承载一基底,基底具有一中心区域和一周边区域,且中心区域暴露于蚀刻腔室的一个第一制造区块中;而周边区域暴露于该蚀刻腔室的一个第二制造区块中。气体配管是用来将一气体导入制造腔室中。气体增能器是用来使气体增能,以蚀刻基底上的多个图案;而气体排出口则是用以排出该气体。此基底蚀刻装置亦具有一个第一光监测装置与一个第二光监测装置,其中第一光监测装置是用来监测该基底的该中心区域上所形成的多个被蚀刻的图案,并产生一个与所测量的该图案的尺寸成比例的第一信号;而第二光监测装置是用来监测基底的周边区域上所形成的多个被蚀刻的图案,并产生一个与所测量的图案的尺寸成比例的第二信号。此基底蚀刻装置更具有一个腔室控制装置,包括一内存,该内存有一查询表,该查询表包括多数个制造制程处方,用以接收并评估该第一信号与该第二信号,并操作蚀刻腔室,以将第一制造区块上的一制造参数设定在一可控制的第一水平,该第一水平依照该第一信号来选择,并将第二制造区块上的制造参数设定在一可控制的第二水平,该第二水平依照该第二信号来选择,藉以分别监测和控制基底的中心区域和周边区域上那些被蚀刻的图案的尺寸。
本发明另提出一种蚀刻基底的方法,此方法包括将一基底置于一制造腔室的一制造区中,此基底具有一中心区域和一周边区域,其中中心区域暴露于制造腔室的一第一制造区块中,该周边区域暴露于制造腔室的一第二制造区块中,基着在制造区中通入一蚀刻气体,并且使蚀刻气体增能,以蚀刻基底上的多个图案,之后,再排出蚀刻气体。然后,监测基底的中心区域上所形成的多个被蚀刻的图案的反射光,并产生一个与该图案的关键尺寸成比例的第一信号,并再监测基底的周边区域上所形成的多个被蚀刻的图案,并产生一个与该图案的关键尺寸成比例的第二信号。之后,评估第一信号该第二信号,并操作蚀刻腔室,以将该第一制造区块上的一制造参数设定在一可控制的第一水平,该第一水平依照该第一信号来选择,并将第二制造区块上的制造参数设定在一可控制的第二水平,该第二水平系依照该第二信号来选择,藉以分别监测和控制基底的中心区域和周边区域上的那些被蚀刻的图案的尺寸。
本发明还提出一种蚀刻基底的装置,此装置具有一个腔室,其包括一个基底支座、一个气体配管、一个气体增能器以及一个气体排出口。其中基底支座是用来承载一基底,此基底具有一个第一区域和一个第二区域。气体配管是用来将一蚀刻气体导入腔室中。气体增能器,是用来使蚀刻气体增能,以蚀刻基底上的多个图案。气体排出口则是用以排出蚀刻气体。此蚀刻基底的装置亦包括一个第一光监测装置与一个第二光监测装置,其中第一光监测装置是用来监测基底的该中心区域上所形成的多个被蚀刻的图案,并产生一个与所测量的该图案的尺寸成比例的第一信号;第二光监测装置是用来监测基底的周边区域上所形成的多个被蚀刻的图案,并产生一个与所测量的该图案的尺寸成比例的第二信号。此外,此蚀刻基底的装置更包括一腔室控制装置,用以评估第一信号与第二信号,并依据第一信号和第二信号选择一蚀刻制造处方,并依据此蚀刻制造处方操作腔室,藉以分别监测和控制该第一区域和该第二区域上的被蚀刻的图案。
本发明还提出一种蚀刻基底的方法,此方法将一基底置于一腔室的一制造区中,此基底具有一个第一区域与一个第二区域。接着,在制造区中通入一蚀刻气体,然后使蚀刻气体增能,以蚀刻基底上的多个图案,之后,再排出蚀刻气体。其后,监测基底的第一区域的多个图案,并产生一个第一信号,并监测基底的第二区域上的多个图案,并产生一个第二信号。之后,评估第一信号与第二信号,并依据第一信号和第二信号来选择一蚀刻制造处方,然后,再依据蚀刻制造处方来设定腔室的多个制造参数,藉以分别监测和控制第一区域和第二区域上的那些图案的蚀刻。
利用本发明的装置及方法,可以在基底上形成微小尺寸的图案,且图案的形状和尺寸相一致。为让本发明的上述和其它目的、特征和优点能更明显易懂,下文特举较佳实施例,并配合附图,作详细说明如下。
附图说明
图1A是基底制造装置的顶视图,表示一个具有加载互锁真空室的基座、制造腔室和测量室。
图1B是具有监测装置和制造控制装置的制造腔室的侧视图。
图1C是具有一气体增能器的制造腔室的侧视图,其包括一天线且在顶面具有一局部的中心制造监测器。
图1D是具有一将进行制造的基底的制造腔室的部分侧视图,其中基底的不同区域上具有相似图案。
图1E是图1C所示的气体配管的下视图,其显示同心的中心气体出口和周边气体出口。
图1F是图1B的制造腔室的磁场产生器的示意图。
图1G是适用于图1B的另一实施例的磁场产生器的示意图。
图1H是具有两个热传送气体压力区的基底支座的示意图。
图1I是图1H的基底支座的上视图。
图2A是一种用以操作图1A-1D的制造腔室的腔室控制装置的示意图。
图2B是图2A的腔室控制装置的计算机可读取程序的阶层式(hierarchical)控制结构的方块图。
图3是调整制造气体流仅通过中心气体出口、周边气体出口或同时通过中心和周边的气体出口,以控制基底的不同区域上的图案的蚀刻率的图形。
图4是蚀刻气体仅提供在周边气体出口或在中心气体出口或是同时提供在不同开口大小的气流阀的中心气体出口和周边气体出口,其相对应的蚀刻均匀度的图形。
图5是调整中心和周边的气体出口的开口大小(0表示仅有中心气体出口打开,而1表示周边气体出口全开),以控制基底上的蚀刻图案的倾斜角改变的图形。
图6是各种通过周边气体出口和中心气体出口的蚀刻气体的流率,其对孤立图案和密集图案的倾斜角均匀度的关系图。
图7是以不同蚀刻气体组成进行蚀刻,其基底的中心至圆周周缘的图案的倾斜角。
图8A-8C是图1G的磁场产生装置所产生的磁场强度的图形,其磁场强度为基底的半径的函数。
图9是基底的中心区域和周边区域的蚀刻率和各种磁场强度的关系图。
图10是在天线上施加不同水平的电源时,基底的中心区域到周边区域的图案的蚀刻率的图形。
图11是一种用以测量基底上进行制造的图案的尺寸的测量工具的示意图。
符号说明
85:图案                                          100:装置
102:基底                                         104a、104b、104c:腔室的编号
103a、103b:加载互锁真空室(load lock chamber)     105:测量室
106:顶面                                         108:侧壁
110:底面                                         112:制造区
113a、113b:窗口                                  114:支座
115:气体入口                                     116:承载表面
117:气体出口                                     118:介电质
119:非密封突起物                                 120:电极
121:气体供应器                                   122:气体配管
123:突起物                                       125a、125b:区
124a-c:气体供应管                                126a-c:导管
128a-c:气体流动控制阀                            130:混合支管
132:流体分流器                                   134:气体配管
136、138:制造区块                                140、142:气体出口
144、146:区域                                    148、150:流动方向
156:排气装置                  158:排出口
162:排气管                    163:节流阀
164:抽气泵                    165:气体增能器
166:电极电源供应器            167:直流电压供应器
168:射频匹配网络              169:射频电源供应器
170:磁场产生器                174:天线
175:天线电源供应器            177:射频匹配网络
179a、179b:线圈               180:制造监测器
181a、181b:干涉仪             182a、182b:探测器
184a、184b:光源               186a、186b、188a、188b:光束
190a、190b:聚焦透镜           192a、192b:光束定位器
194a、194b:带通滤波器         196a、196b:光偏振器
201:离子                      200a-j:电磁
202a-j:磁场产生电源供应装     204:电磁电源
300:腔室控制装置              304:硬件接口
308:计算机                    312:CPU
316:计算机可用媒体            320:可抽取的储存装置
324:非抽取式储存装置          328:动态随机存取记忆装体
332:显示器                    336:资料输入组件
348:计算机可读取程序          352:制造选择指示集
356:制造序列指令集            360:腔体管理指令集
364:基底定位指令集            368:气流控制指令集
369:控制阀指令集              370:流体分流器指令集
372:气体压力控制指令集        376:温度控制指令集
380:气体增能器控制指令集      384:制造监测指令集
385:探测器指令集              387:探测参数指令集
388:制造反馈控制指令集        392:磁场控制指令集
394:查询表         400:量测工具
415:光束           420:反射镜
425:反射光束       430:光探测器
435a-c:光学组件    440:测量控制系统
445:图像处理器(image processor)
具体实施方式
本发明实施例的基底制造装置100,如图1A-1D所示,其包括一个制造腔室104a-c与一个制造监测器180,其中制造腔室104a-c是用来对基底102进行制造;制造监测器180是用来监测基底102上不同区域上的图案85。基底例如是半导体晶圆和显示器。基底制造装置100,是用来说明本发明,本发明的范围并不限于此或等于此。通常,装置100包括一具有电子连接器的基座101,其可垂直加载加载互锁真空室(load lock chamber)103a、103b、基底制造腔室104a-c及测量室105之中,如图1A所示。加载互锁真空室103a、103b是用来接收含有一批基底102的晶盒。加载互锁真空室103a、103b中的基底102可藉由机器手臂107传送到基底制造腔室104a-c。基底102再依序于制造腔室104a-c进行制造,制造腔室104a-c例如是蚀刻室、沉积室或清洗室。测量室105是用来单独测量每一批基底中的各个基底,例如一批基底中的第一片基底或初始的基底(initial substrate)。虽然,以上是以不同的腔室作为说明,然而装置100的腔室的位置或排列的方式并未特别限制,而且,装置100亦可以是仅具有单一腔室的。
制造腔室104a具有数面围墙,包括一顶面106、侧壁108和底面110,其定义出一制造区(processing zone)112,以使得具有能量的气体保持在基底102之上,如图1B所示。制造腔室104的顶面106和侧壁108可以具有一个或多个窗113a、113b以使辐射例如是光透入。基底支座(substrate support)114具有一基底承载表面116,其可承载基底102,此基底102可以藉由机器手臂107传送到制造区112。有一种变化是基底支座114具有一介电质118,此介电质118至少覆盖一部份的电极120,而电极120是可充电产生静电荷以电性固定住基底102。热传送气体供应器121是用来供应热传送气体,例如是氦到基底102的背面。
制造中所使用的气体,例如是可以蚀刻基底102上的材料层的蚀刻气体,其是以气体配管122通入制造腔室104中。制造腔室104也可包括一排气装置156,以排出制造腔室104中的气体,并调整制造腔室104中气体的压力。排气装置156包括一排出口158,其是位于基底基座114的上方,可由排气管162将气体传送到抽气泵164。排气管162中的节流阀163可控制制造腔室104所排出的气体的流量。典型的泵164包括低真空泵和高真空型泵。
气体增能器(gas energizer)165可将射频RF或微波能量耦合至制造气体中以使制造腔室104的制造区112的制造气体或是制造腔室104外部的远程区(未绘示)的制造气体增能。有一种变化是,气体增能器165包括一对电极,其中电极120位于基底支座114之中,而另一个电极则由制造腔室104的侧壁108或顶面106所形成。当顶面106和侧壁108均是接地或是浮置时,可藉由电极电源供应器166透过射频电源供应器169和射频匹配网络168将射频电源供应到电极120上。或者,直流电压供应器167可将直流电压供应到电极120上,以产生静电荷固定住基底102。另一种变化是,气体增能器165包括天线174,其可藉由天线电源供应器175经由射频匹配网络177以产生电源,如图1C所示。天线174可包括电感线圈179a、179b,其是覆盖在制造腔室104的顶面106上,其可在制造腔室104中产生感应电场,以使制造腔室中的气体增能。制造腔室104顶面106的材质为介电材料,例如是氧化铝,以使感应的能量从天线渗入其中。制造腔室104顶面106的材质亦可采用半导体材料,例如是硅,以作为制造腔室104中与电极120耦合的电极。气体增能器165亦可同时使用电极120和天线174。或者,制造腔室104亦可包括一磁场产生器170,如图1f所示,其可将具有能量的气体混合或是限制在一个区域中,其详细说明如后。
制造监测器180是用来监测基底102的第一区域144上的图案85的尺寸并产生一个第一信号,并且监测基底102的第二区域146上的图案85的尺寸并产生第二信号。图案85是不连续的结构,其彼此之间具有不同的间隙,例如基底102上的突起或凹陷,其排列成重复的图案以形成图案结构数组,如图1D所示。测量图案尺寸包括测量图案85的宽度、深度、开口的大小或倾斜角度。图案85,例如是介层窗开口或是接触窗开口,其尺寸的测量包括开口的大小、深度或高宽比(aspect ratio)。内联机尺寸的测量则包括图案的宽度、高度或厚度的测量。典型的测量表示少数个别图案85的平均值,然而,亦可以单一的图案85亦可单独测量它。其它不连接的图案85,例如是n型或是p型的区域亦可测量其掺杂的浓度、型态或覆盖的区域。
在监测基底102上的图案85的尺寸时,是监测基底102上至少两个不同的位置144、146上的图案85。测量的区域可以选择整个基底102,例如,可藉由制造测试基底102来决定其图案的差异性,进而决定整个基底102上图案的差异性。例如,在一个蚀刻制造中实际测量进行蚀刻制造之后的基底,若发现基底102的第一个中心区域144上的图案85在进行蚀刻之后的形状与基底102的第二周边区域146上的图案85在进行蚀刻之后的形状有所不同,则以制造监测器180测量基底102其中心处144和周边处146的图案的尺寸。然而,亦可在基底的其它位置上进行测量,例如基底的相对应的边缘处,如制造腔室104其气体入口和出口处的基底的边缘处。制造监测器180可用来测量基底102上整个网点上的图案85,例如,基底上水平线和垂直线所形成的格子的交叉点上的图案。亦可以测量基底102上相似区域,例如是同一列区域,如基底102的周边区域上的图案,以及由各个单一信号平均而得的信号,如基底周边附近的环状区域。
制造监测器180所产生的信号传送到腔室控制装置300。腔室控制装置300则依据由制造监测器180所得的信号,操作制造腔室104,以形成一个封闭的控制回路(closed control loop)来调整制造腔室104的制造条件。腔室控制装置300由制造监测器180接收到图案尺寸的信号之后,会进行评估,并发出控制信号,以操作制造腔室104设定制造腔室104a、104b的制造条件,进而让基底102上形成所需的图案,例如是控制或是改善基底102不同区域上的图案85的尺寸和均匀度。在进行信号评估程序时,腔室控制装置300可由查询表(look-up table),或是由制造监测器180所传送的第一个和第二个信号的电平(level),经由数学方式计算所需的制造条件,以决定出制造腔室104a、104b的适当的制造条件。
在一实施例中,腔室控制装置300会依据图案测量信号的强弱,操作腔室的组件,以将制造腔室104其制造区112之中不同制造区块(processing sector)136、138设定成不同水平的制造的参数。制造区块136、138的制造条件可得知所处理的基底102中特定区域上的图案85为何。例如,腔室控制装置300可以接收第一信号和第二信号,并设定制造腔室104a、104b的制造参数,以处理第一区域和第二区域上的图案85,补偿(compensate)其尺寸上的差异。制造区块136、138是制造区112中相邻的两个区域,此二区块上的制造条件可设定成不一样。例如,第一制造区块136位于基底102的第一区域144的上方;而第二制造区块138位于第一区域144外围的第二区域146的上方。在此例中,第一区域144是基底102的中心处;而第二区域146则是基底102的周边处。在另一例中,第一区域144可以是位于制造腔室104a、104b的气体入口处的附近,例如是气体配管122的出口142附近;而第二区域144位于气体出口处的附近,例如是气体排出口158的附近。
在各个制造区块中,腔室控制装置会将所选定的制造参数设定在一个特定的水平(particular level)。在相似的区块136、138的基底102暴露于加能的气体后,可将此二区块136、138制造参数区域化的水平设定成不连续或不同的数值,以控制此二区块136、138的基底102的的图案85的制造特性。例如,区域的制造参数可包括气体流率或气体流速、位在区块边界如基底102内环和外环边界的基底102区域的温度、施加在气体增能器165的气体增能电源的大小,其可依据另一个区块而单独将一区块的气体增能到一特定的水平及制造腔室的区块中的平均磁场强度。将各区块136、138的制造参数设定成不同,可以分别控制进行制造的基底102其不同区域144、146上的图案85,以维持预定的第一和第二制造速率或达到预定的制造水平。例如,可控制进行制造的图案85,以使得基底102上不同区域144、146的图案85在进行处理之后具有大致相同的尺寸或达成所要的不同特性。例如,腔室控制装置300可将制造腔室104a、104b的第一制造区块136的区域制造参数设定在一个可控制的第一水平(first level),以第一制造速率处理基底102上第一区域144上的图案85,并且同时将第二制造区块138的区域制造参数设定在一个可控制的第二水平,以第二制造速率处理第二区域146上的图案85,以在制造的终点时使得最终的图案85具有相同尺寸或具有所预定的不同尺寸。
在另一个实施例中,腔室控制装置300可以从具有多组制造处方(process recipe)的查询表中选出一种特定的制造处方。选择制造处方的方法,是依据制造监测器180探测基底上不同区域144、146的图案85尺寸所得到的第一信号和第二信号来选定的。各个制造处方可依据两个测量区域144、146其制造的属性量身设定,以使得图案85在进行蚀刻之后具有大致相同的尺寸或是具有所控制的不同尺寸。制造处方可包含预定的基底温度水平、气体组成、流经不同气体出口的气体流率、气体增量电源范围或磁场强度。一种变化例如是,制造处方是采用一种气体组成,其可增加基底102其第一区域144的图案的制造速率,使得其在制造最后的制造速率与第二区域146的图案的制造速率相同。查询表中有第一、第二信号电平(level)的集合,或是第一信号和第二信号的数学操作数(mathematical operand),且其连结具有一组制造参数水平的制造处方。例如,各查询表的各项目是有序的数字集合,最前面的两位数是第一和第二信号电平(level),第三位数则是所连结的制造处方的号码。在另一例中,查询表可包含一有规则的字符串,此字符串包括最前面的一位数是第一信号和第二信号的数学操作数,例如是第一和第二信号电平(level)的比值、第一和第二信号电平(level)的差值或是第一和第二信号电平(level)之间的其它种代数关系,而第二位数则是所连结的制造处方的号码,其中制造处方号码含有一组制造参数水平。
制造处方可以从一批已进行制造的基底中选择一片基底102来进行测试。例如,将一个晶盒中的基底(未绘示)置于加载互锁真空室103a、103b之中,接着,利用机器手臂107选择一片基底102,并将其传送到测量室105之中,之后,再于测量室105中测量基底102中不同区域144、146上的图案85的尺寸或特性,例如是以制造监测器180如量测工具(metrology tool)400来进行测试。其后,将测试所得的信号传送给腔室控制装置300,腔室控制装置300再由查询表中选择一个制造处方,以藉由此制造处方中合适的制造参数处理晶盒中具有相同测量属性的一整批的基底102。例如,所测量的图案85的尺寸大于平均值,则必须调整制造条件,以修正图案85被过度蚀刻的问题,而相反的情况亦可采用。单一芯片的制造步骤之间亦可进行此种测量,其将基底102上移出制造腔室104并将其送入测量室105中以进行测量,然后再重回制造腔室104之中,依据所测得的信号选择制造处方,再经由制造处方的制造条件进行制造。
在另一实施例中,腔室控制装置300可用以改变制造腔室104之中的参数,将参数由处理单一个初始基底的初始制造参数,改变成处理一整批初始基底的制造参数,其中整批初始基底与单一个初始基底具有相似的属性。一种变化是,从晶盒中的一整批基底中取出一片初始基底或是第一片基底,并将其传送到制造腔室104之中以进行制造。在进行制造之前或之后,或是在进行制造期间,测量基底102上多个区域上的图案85的尺寸,并在完成制造之后,测量基底上不同区域上的图案的尺寸。腔室控制装置可以用来评估基底的不同区域上的图案85的原始尺寸之间的差值,以及/或基底在进行制造之后其图案85在尺寸上的改变量,且从查询表中可决定特定集合的批次制造参数或制造处方,以减少基底在进行后续制造时其图案的尺寸的差异性。其后,在一批基底中选出与初始基底具有相似属性的另一个基底,并以所决定的制造处方或批次制造参数进行制造,以进一步减少整批基底其不同区域上的图案85在关键尺寸上的差异。此方法可以弥补处理不同批次的基底其制造上的变化或是不规则的情形。
制造监测器:
以下将详述各种的制造监测器。一种变化是,制造监测器180包括数个干涉仪(interferometer)181a、181b,其用来探测光线,例如是可见光或紫外光,也就是从基底102不同区域144、146上的图案85反射的光线来决定在特定时间各区域上的图案85的状态,如图1b所示。例如,第一干涉仪181a具有一第一光源184a,其可将一第一光束186a导向基底的第一中心区域,以产生一反射的光束186b,此光束186b再被第一探测器182a所接收,而再产生一个与反射光束强度有关的第一信号。第二干涉仪181b包括一第二光源184b,其可将第二光束188a导向基底的第二周边区域146,以反射形成光束188b,此光束188b再被第二探测器182b所接收,而再产生一第二信号。各光源184a、184b可以是单色光源,例如是氦-氖或ND-YAG雷射,或是多色光源,例如是氙或汞镉灯。多色光源可以被过滤而成可提供具有选择性波长的光束,或者可在探测器的前面设置一滤光器(light filter)。干涉仪181a、181b的光源可采用制造腔室中的电浆所产的光。典型的光探测器182a、182b包括感光型感侧器(light sensitive sensor),例如光电倍增管、光电电池、光二极管或光敏晶体管(phototransistor),其可依据基底102反射所测得的反射光束186b、188b的强度和相位而形成一个电性强度信号。光束聚焦透镜(focusing lense)190a、190b可使光束186、188聚焦到基底102上的不同点,或将反射光聚焦到背面的光探测器182a、182b。或者,可以使用光束定位器(light beam positioner)192a、192b,例如是旋转镜,将光束引导到适当的位置上,以使得反射光可以直接反射到光探测器182a、182b,或以光束186a、186b扫描整个基底上的光栅图案。
当基底102上所形成的图案85的面积小于周围尚未进行制造的区域时,则必须增加所测得的图案85尺寸的信号噪声比。一种变化是,使用光偏振器(light polarizer)196a、196b,以在光束从基底102反射之前或反射之后极化,藉此增加基底上的图案85所反射的反射光的信号噪声比,例如是Sui等人揭露于美国专利第09/695,577号的专利,此专利的名称为「以反射的辐射光监测基底的制造」,其全部的内容并入本案参考。极化角度与基底102上进行过制造的图案的主方向有关,其包括一个与主方向大致平行的第一极化角和一个与主方向大致垂直的第二极化角。具有大致平行的极化角的反射光分量,其强度大于其它方向的极化角的反射光成分的强度。因此,所测得的平行反射光成分和其它方向的反射光成分,可用来增加图案85的反射光的强度(此反射光的强度与其它区域,例如是基底102上相邻区域或是光阻区域所反射出来的反射光有关),以增加反射光的信号噪声比。监测反射的极化光可得知基底102上的图案85的蚀刻深度或蚀刻速率。因此,基底102上所形成的图案85的蚀刻深度,可计算反射的极化光的破坏性/建设性干涉的信号的最大值和最小值而得知。
干涉仪181a、181b也可以具有滤波器(filter)194a、194b,例如是带通滤波器(bandpass filter),以选择性过滤掉光源探测器182a、182b所产生的信号,增加信号频率或波长的所选择的带通其相对于反射光的其它频率的相对强度。带通可与基底102上所形成的图案85的反射光的强度调变频率有关,以减少基底102上其它位置所反射的任何光信号的强度。带通滤波器可以是光学信号处理器(optical signal processor)例如是经涂布的透镜或材料,或是电子信号处理器例如是数字信号处理器,数字信号处理器可将光探测器182a、182b所接收的光信号数字化并将数字化的信号过滤掉。一种变化是,所选择的通带范围是可以提供一种非同调光源的同调长度,其可例如是具有多波长、多相位的电浆放射(plasma emission)。同调长度是指光源的干涉效应可以观测得的长度。一种变化是,对于以254纳米波长为中心的电浆放射,带通滤波器的通带范围可以是1.5纳米。
另一种变化是,制造监测器180包括一个电浆放射分析仪,以从所进行制造的图案的电浆的放射光谱的改变情形定量测量图案的尺寸。电浆放射分析仪包括一第一探测器182a与一第二探测器182b,其中第一探测器182a可探测基底102第一区域144上方的第一区块136的电浆所放射出来的光;第二探测器182b,可探测基底102第二区域146上方的第二区块138的电浆所放射出来的光,如图1C所示。从预定的电浆位置上所放射的各种光线,是用来产生一个单独的信号(separate signal),其可输出成各种的信号或组成一个信号。电浆放射分析仪可分析不同电浆区域的放射光谱,以决定该区上的图案85其化学组成的改变或其它属性的改变。例如,放射光谱是会随着蚀穿一层材料层到另一层具有不同化学组成的材料层而有所不同。光束定位器192a、192b可用来移动探测器182a、182b的探测位置或聚焦透镜190a、190b观测方向。
另一种变化是,制造监测器180包括一个反射计(reflectometer)(未绘示),其可将光束导向基底102,并探测反射的光束的振幅,例如美国专利第6,462,817号和第6,297,880号所揭露者,其二者的内容并入本案参考。反射计可用来决定各种属性,例如形成在基底102上的图案85的厚度或反射指数(index of refraction)。反射计包括一雷射或其它的光源,以将光束引导到基底102特定的位置上,并且包括一光探测器,以测量反射的光束的强度。反射计也可包括一个可调式滤波器,以控制入射或反射光束的波长。或者,反射计可以是可同时测量波长的频带者。反射计也可包括一个装置,以调整入射到基底102的角度。另一种变化是,反射计可以使用极化的入射光束,且包括一偏光板和相位减速器(phase retarder)或调变器,如前所述者。例如,当反射计是用来判断图案85的厚度时,而图案85具有一已知的反射的波长相关指数,并且其消光系数(extinction coefficient)为零,反射计可在法线的入射角使用非极化光,并且测量反射光束和入射光束之间的强度比,且此强度比为波长的函数。由反射强度和波长的关系图以及已知的反射的指数,可以利用Maxwell关系式计算出图案85的厚度。例如,图案85上的一单材料层的反射,其反射强度主要是与图案材料(其与波长和角度有关)的反射指数以及图案85的厚度有关。由于已知波长与反射的指数有关,而且入射的角度不变,因此,所收集的数据可以用来解释图案85的厚度。另一种变化是,入射的角度是可以改变的,且入射光束的极化率也是可以改变,以产生一些资料,例如所测量的反射强度可以做成角度和极化率的函数以及波长的函数,以解释复合层图案85。
另一种变化是,制造监测器180包括一个椭圆测厚仪(ellipsometer,未绘示),其可将一极化光束导向基底102,并可同时探测从基底102所反射的光束的相位和强度的改变情形。光束被极化成与基底的表面平行的平行光(p分量)和与基底的表面垂直的垂直光(s分量)。反射的s分量和p分量的强度比以及相位比,可依照已知的数学式表示成与椭圆的参数Ψ和Δ有关的参数。例如,美国专利第3,874,797号以及第3,824,017号所揭露的椭圆测厚仪,其内容并入本案参考。
另一种变化是,制造监测器180是一个量测工具(meterology tool)400,其可在制造腔室104中原位(in-situ)或是在基底制造装置100的制造线中位于制造腔室104外部的测量腔室105中监测基底上进行制造后的图案85的尺寸。基底102可由制造腔室104传送至测量室105,再以量测工具测量基底102。量测工具400亦可以架设在一个单独的腔室之中,例如加载互锁真空室103a、103b或是传送室之中。以测量基底102所得的测量资料调整制造参数,藉以改善另一个基底的制造,其说明如下。量测工具400可用来测量基底102的性质,例如是在基底102进行制造之前或进行制造之后,测量基底102上图案85的关键尺寸(CD)、线轮廓或其它的形状特征。量测工具400的实施例如图11,其包括一个光学测量组件,其可测量图案85在进行制造之后的图形尺寸,例如是图案的宽度、高度、间隙、形状或图案边缘的倾斜角度。例如,一种变化是,量测工具400是一个绕射线轮廓仪(diffractive line profilometer),其可将一极化的宽频光束导向基底102。图案85将在基底102上形成一个绕射光栅(diffraction grating)。典型的是,在一个区域上的图案85是呈周期性的,例如是数组线。量测工具400包括一周期性图案85模型,其具有可决定图案85形状的可调参数。图案85的初始图案评估值输入于量测工具400之中,测量工具400将由此初始图案评估值计算其绕射光谱,例如精密耦合波分析仪(Rigorous Coupled Wave Analysis,RCWA)。若是所计算的绕射光谱和所探测的绕射光谱之间不一致,可以以非线性回归的方式使轮廓的评估值最适化。此最佳化的步骤可重复施行,直到轮廓评估值所计算的绕射光谱和所探测的绕涉光谱之间在期望的容忍度之内。合适的测量工具400包括一绕射线轮廓仪,其实例如加州Nanometric Milpitas所制造的NanoOCD模型。以绕射的方式来判断一区域中重复图案85的线形轮廓的方法如Conrad等人的美国专利第5,963,329号所述者,其内容并入本案参考。
在另一实施例中,制造监测器180也可是一个散射仪(scatterometer)(未绘示),其可以进行2-θ散射测量,其中所测量的散射光的强度是入射角的函数。依照光栅方程式,光被基底102上的周期图案85绕射,其中光栅方程式为sinθi+sinθr=mλ/d,其中θi是入射角;θr是反射角;m是绕设阶;λ是光的波长;d是基底上所评估的图案的周期。光栅的周期小对应的图案的尺寸小,而一般m=0对应的入射角等于反射角,是较容易被观察到绕射级。在散射测量中,入射光和反射光可被极化成s分量和p分量,以利于测量。决定要被处理的基底特性的资料分析,可以使用依据收集的资料或是收集的资料与预先计算的答案比较,去解一个数学模型,以决定出最佳的匹配。例如,使用将观察值与答案(solution)之间的均方根值最小化的算法。
图11所绘示的测量工具400包括可以产生入射光束415的光源410。部分反射镜420可将入射光束415导向基底102,以照射基底102,并从基底102反射而产生一反射光束425。反射光束425通过部分反射镜420,并射入具有感光组件的光探测器430之中。在光源410、部分反射镜420、基底102及光探测器430之间可装设光学组件435a-c,以使入射光束和反射光束415、425聚焦,或藉由控制开口大小来修正其宽度(aperture),或是修正其形状(stigmate)或直接进行更改(modify)。例如,光学组件435a-c可包括透镜以及可调整的装置。光学组件435a-c可藉由测量控制系统440来予以控制,并且基底支座114可以使得基底102的测量具有高精确度。在一实施例中,光探测器430可用来测量反射光束425的频谱上的多重振幅(multiple amplitude),以评估基底102的目标图案的关键尺寸(CD)。例如,光探测器430可包括一个单一的感光电子组件,例如是感光光电传感器数组,例如是CCD探测器。图像处理器(image processor)445可接收光探测器430的图像,并进行处理,以决定基底102上的图案85的关键尺寸。与基底102上的高低图案相对应的图像边界,可藉由电子图像的强度范围之间的差异而具有数字化的轮廓。目标图案的关键尺寸可测量目标图案的边缘所对应的图像边界之间的距离来予以计算。
在另一实例中,光探测器430可藉由椭圆仪(spectroscopic ellipsometry)来判断基底102上的图案85的厚度。进入光探测器430的反射光束425会具有一个极化角,探测此极化角可计算出图案85其在厚度上的改变。例如,基底102上具有第一厚度的图案85,可测得反射光束425的极化角。而基底102上具有第二厚度的图案85,亦可测得反射光束425的极化角。第一厚度和第二厚度之间的差值可由下述方式计算而得:将极化角的改变除以沿着反射光束425行进距离的极化角度的预定改变率。
控制器:
请参照图2A,典型的腔室控制装置300包括一个计算机308,其具有一中央处理器(CPU)312,例如是Pentium处理器,其可由美国加州的Intel公司购得,此中央处理器系与一内存316以及计算机周边组件耦接。内存316可包括一可抽取的储存装置320例如是CD或是磁盘驱动器、一非抽取式储存装置324例如是硬盘、动态随机存取记忆体(RAM)328。腔室控制器300可再包括一硬件接口304,其包括模拟或数字的输入和输出板以及马达控制板(motor controller board)。操作人员可由显示器或是资料输入组件336来了解、掌握腔室控制装置300。操作人员可透过资料输入组件336,例如是键盘或光笔来选择特定的屏幕或功用。
腔室控制装置300亦包括一计算机可读取程序348,其储存在内存316之中,且包括可控制、监测在腔室104中所进行的制造的程序化编码(program code)。计算机可读取程序348可以任何公知的计算机可读取程序语言写入资料。适合的程序编码以公知的文字编辑器,输入到单一或多个档案中,并且是储存在或嵌入于内存的计算机可用媒体316之中。若所输入的编码文字是高级语言,该编码便被编译,最终的编译码被连结到一个预先编译的函式库程序的对象码。要执行此连结、编译的对象码,使用者运用该对象码,促使CPU 312去读取并执行此编码,以完成程序348中所指定的工作。图2B所示一实施例的计算机可读取程序348的控制结构。
使用者利用资料输入组件336响应显现在显示器332上且由制造选择指令集352所产生的选单或屏幕,将一组制造参数和腔室的编号104a、104b输入计算机可读取程序348。制造序列指令集356包括可程序编码,以接受腔室型式以及制造选择器352的制造参数集,并计算其操作时间。制造序列指令集356藉由把特定的制造参数传给腔室管理指令集360,以激活制造集合的执行,其中腔室管理指令集360控制腔室104a、104b中的多个制造工作。例如,腔室管理指令集360可以包含各种不同的腔室构件指令集合,诸如下述:
(1)基底定位指令集364,用以控制腔室构件去加载基底102至基底支座114,并且选择性地在腔室中把基底102上升到需要的高度;
(2)气流控制指令集368,用以控制导引到腔室104a、104b中的蚀刻气体的组成、经过不同气体出口140、142的流率与速度;
(3)气体压力控制指令集372,藉由调整节流阀的开口大小,控制腔室104内的压力;
(4)温度控制指令集376,例如操作基座114中的加热器(未绘出)、热转移气体的流率、或辐射能源灯(也是未显示),控制基底102的不同区域144、146的温度;
(5)气体增能器控制指令集380,控制施加到腔室104a、104b的气体增能器165的功率水平;
(6)磁场控制指令集392,用以操作一个做为选择性构件的磁场产生器170;
(7)制造监测指令集384,监控要在腔室104进行的制造;以及
(8)制造反馈控制指令集388,做为制造监测指令集384与其它腔室构件指令集之间的反馈控制回路。
虽然在此被描述成分离的指令集,以执行一组工作,但是这些指令集的每一个都可以被彼此整合,或可以被重叠;因此,在此描述的腔室控制装置300与计算机可读取程序348不应该限定为上述功能性程序的特定版本。
制造监测指令集384包括如(i)第一探测器指令集385,以接收及/或评估来自基底102的第一区域144被反射的光束186a其被第一探测器182a探测后所产生的第一信号,以及(ii)第二探测器指令集386,以接收及/或评估来自基底102的第二区域146被反射的光束186b其被第二探测器182b探测后所产生的第二信号,藉以决定在不同区域144、146的制造状态的比较信息。评估每一个信号,以决定在基底区域中要进行制造的图案85的属性,而信号是从这个区域产生的。例如,当制造监测器180包括干涉仪181a、181b,制造监测指令集384可以计数两个信号中各个的干涉边缘的数目,或者是实时地把信号强度与储存的特性波形、量测的或计算的代表性资料图形、或储存在查询表中的资料。制造监测指令集384也可以包括控制光源184a、184b的程序代码、带通滤波器194a、194b、光束定位器192a、192b、聚焦透镜190a、190b或光偏振器196a、196b。
探测参数指令集387包括与探测参数相关的编码,如选择的波长、反射或放射光的特性属性、时序资料、干涉边缘的预定数目、查询表、把数据模型化的算法以及其它资料形式与图形。资料参数可以在腔室104a、104b中,一次一个去处理具有预定图案的尺寸的测试基底的方式来决定。例如,被在基底102上具有不同尺寸的图案85所反射的一连串光迹,以及/或腔室104中从电浆的不同区域放射出来的一连串光迹均被记录下来。评估这些光迹,以确认出在一光迹的可辨识且可探测的改变情形,其以算法、查询表、储存的参数、或其它适合用来评估在基底上要被处理的图案尺寸的判断基准等等的形式,以输入到并程序化到探测参数指令集387中。
制造反馈控制指令集388在制造监测指令集384与其它腔室构件指令集之间形成反馈控制回路。制造反馈控制指令集388依据来自制造监测指令集384的信号,产生并传送信号去指示腔室构件指令集,来设定在基底102上不同区域的不同区域化水平的参数。例如,制造反馈控制指令集388可以从腔室控制装置300的内存316去取回查询表,并且从查询表确认出对于腔室104a、104b较适合的处方或区域化制造参数值的集合,其中前述查询表与从制造监测指令集384接收到的制造监测信号的值有关联。在另一个例子,腔室控制装置300可以根据从制造监测器180所接收到的第一与第二信号的电平(level),以数学方式去计算出一或多个区域化制造参数等级。
控制气体流以调整图案的尺寸:
在此例中,基底102的第一区域144和第二区域146上的图案85在进行制造之后,探测其尺寸以得到第一信号和第二信号,并控制流入第一和第二制造区域136、138的制造气体的气体流率。设定两个不同的局部气体流率,调整腔室控制装置,以探测不同基底区域144、146上的图案尺寸的差异性,补偿尺寸上的差异。
一种变化是,气体配管122藉由导管126a-c与气体供应管124a-c连接,导管126a-c具有气体流动控制阀128a-c,其可控制流经混合支管130的气体组成,如图1B所示。混合支管130可将各种气体混合,以形成制造气体。制造气体再送入流体分流器(flow splitter)132,并经不同的气体出口140、142或气体配管134而分成数个气体流。气体出口140、142可将不同气体流率的制造气体导入腔室104的制造区112的制造区块136、138之中,以使得不同气体流的气体送到基底102的不同区域144、146上。然而,气体出口140、142亦可设置在可将气体导入于腔室104其它区域的位置上。气体出口140、142可以延伸穿过腔室的顶面106(未绘示)、侧壁108或支座114(未绘示)。
气体配管134亦具有流体分流器132,其具有单一的输入信道(input channel),可接收预先混合的蚀刻气体,以及一个分支阀(bifurcated valve),其可引导两个输出信道以使中心或周边的气体出口140、142的同一种制造气体变成两种输出气体流。分支阀可同时在第一和第二输出信道设定第一流率和第二流率。由于设定一种流率可自动设定其它的部分以达到期望值,而不需要将两个分离的气体流阀彼此校准。因此,单一的输入信道可提供较可控制的流率比,以流经输出信道。然而,流体分流器132亦可在分离的管道上设置单独的气体流阀,其可将流体从混合支管130分别导入到中心处或是周边处的气体出口140、142。例如,当需要调整其中之一的流率,而不改变其它的流率时,后者可以依照所需独立控制各个阀。
气体配管134亦可具有多个气体出口140、142,其彼此相隔一距离,以在腔室104中产生非均等分配的制造气体,改善整个基底102的制造均匀度。由气体流分流器的气体出口140、142的排列方式可以经由流体动力学的计算或是测试基板的实验研究来决定。例如,中心或周边处的气体出口140、142位于可提供气体流入口点的位置上,气体流入口点可产生共点但分离的气体流图案,而分送在不同的制造区块136、138上,以控制基底102的不同区域144、146上局部气体的分布或气体的驻留时间。周边的气体出口142系彼此分离而呈一个径向向外的环状;中心处的出口140系与周边的气体出口142共轴,并且在内环且彼此分离,如图1E所示。开口113a系在中心气体出口140的环内,其可让光通过制造监测器180。气体出口140、142亦可设在相同半径线上或是在间隔在半径线上。一种变化是,气体配管122具有12个中心出口140以及12个环绕在其周围的周边气体出口142。
气体出口140、142,其开口尺寸亦可不相同,以注入不同速度的气体。例如,中心气体出口140的开口尺寸可以提供第一种气体速度;而周边气体出口142的开口则是另一种尺寸,其可以提供第二种速度。在一实施例中,所选择的开口尺寸可以提供第一种速度,其至少比第二种速度高出一倍。不同的速率可以使得气体流具有不同的驻留时间,气体流可在腔室104产生一流向分布,其与其它非控制的制造变量相当。例如,气体流速较高的区域可以藉由添加具有较高速率的制造气体而提供不同的蚀刻特性,并藉此改善其蚀刻特性,例如是在该区域的化学反应或等向性蚀刻特性,以控制该区域的图案在进行制造之后的形状。
气体出口140、142亦可用来导引气体,使气体沿着不同角度的流动方向148、150流动。例如,气体出口140设在可以将气体导引成垂直的方向148的位置上,其大致上与基底支座114的承载表面116垂直,如图1C所示。或者,气体出口142亦可设在可以将气体的流动方向150导引成倾斜于承载表面116而具有一角度,如图1B所示。垂直的第一流动方向的制造气体,可在基底102的中心区域144上方提供垂直的气体流;而具有角度的第二流动方向的制造气体则可以提供在基底102的周边区域146上方提供倾斜的气体流。气体出口140、142亦可引导气体流呈水平,并与基底支座114平行(未绘示)。两种气体流的指向角度的差异,亦可控制基底102上不同区域146、148的新鲜的制造气体的流率和倾斜的角度。
在此种变化中,腔室控制装置300的制造反馈控制指令集388将指令传送到气流控制指令集368,以依据第一和第二监测信号控制流经气体出口140、142的气体流率。气流控制指令集368亦可包括,例如是控制阀指令集369,其包括可程序化编码,以设定不同的气体供应阀124a-c的气体流动控制阀128a-c的位置,藉以获得一垂直的制造气体组成。气流控制指令集368亦可包括一流体分流器指令集370,其具有一可程序化编码,以调整流体分流器132流经中心气体出口140、142的制造气体的第一体积流率以及流经周边气体出口140、142的制造气体的第二体积流率,以使气体出口140、142二者或其中之一具有所需的体积流率。例如,若是基底上的图案85被蚀刻到关键尺寸时,基底102的第一中心区域144的速率较高于第二周边区域146,则制造反馈控制指令集388将指示气流控制指令集368操作气体分流器132,以减少蚀刻气体流经中心气体出口142的流率。此例中,制造参数包括局部的气体流率,其由不同的制造区块136、138所控制,以控制基底102的不同区域144、146上所蚀刻的图案85的特性。同样地,气流控制指令集368可以改变气体出口140、142的流率或开口的尺寸,以控制流经气体出口的气体的速率。局部气体流率或速度亦可经由设定而符合基底102的中心或周边区域144、146上的蚀刻后的图案85的特性,以使区域144、146上的图案85的尺寸大致相同,也就是其差异小于5%。
在另一例中,制造反馈控制指令集388可以由制造监测器180所接收的第一和第二信号的水平,经由数学的方式来估算局部制造参数的水平。例如,第一信号水平为S1和第二信号水平为S2,第一和第二制造气体流率的差为ΔF,ΔF可由数式:ΔF=k(C1S1-C2S2)计算而得,其中C1、C2、k对特定制造处方和数学式为实验所决定的常数。然后,腔室控制装置300可以以ΔF指示气体分流器指令集370设定气体分流气的开口位置,以使得流经气体出口140、142的制造气体具有所需要的流率。第一和第二流率可依据第一和第二信号来设定,以使得第一流率的值和第一信号的水平成比例;第二流率的值和第二信号的水平成比例。例如基底102的第二区域146上的图案85被蚀刻之后,其关键尺寸不同时,可将第一流率的水平设定成一较高于第二流率,以在基底102的第一区域144上提供较多的蚀刻气体,减少蚀刻速率和关键尺寸上的差异性。
实例:
以下的实例将说明在DPS型腔室中,基底102上不同区域144、146上被蚀刻的图案85的蚀刻尺寸的制造控制,其部分示意图如图1C和图1E所示。制造监测器180包括一干涉仪,其用来探测从基底102反射的光以及通过顶面106中心的开口113a的光。蚀刻气体通入腔室104,其可(i)仅通过周边的气体出口142、(ii)仅通过中心的气体出口140、或(iii)以不同的流率同时通过中心和周边的气体出口140、142。中心的气体出口140可将气体垂直导入腔室104之中,其和基底102平面的法线的夹角为0度;而周边气体出口142亦可将气体导入腔室104之中,其以和基底102平面的法线的夹角为45度或是90度的方向导入。在进行蚀刻制造时,腔室控制装置300依据制造监测器所探测得的信号来设定流经气体出口140、142的蚀刻气体组成和流率。
以蚀刻气体蚀刻硅晶圆上毯覆式的多晶硅层中图案85,其中蚀刻气体包括HBr和He-O2,且可选择性包括Cl2,或是Cl2、O2和N2。进行主要的蚀刻步骤的压力约为4mTorr;而最后阶段的蚀刻步骤系在较高的压力下进行,其压力约为30mTorr。典型的天线源功率水平(antenna source power level)系维持在200至800瓦,电极偏压功率水平(electrode bias power level)系维持在40至400瓦。在进行蚀刻之后,所蚀刻的图案85的特性系由扫瞄式电子显微镜来判断与鉴定。
图3是控制流经中心和周边气体出口140、142的气体流率,以使基底的直径上不同区域140、142的图案具有均匀的蚀刻率。Y轴为沿着基底直径-从中心处到圆周所测量的图案的蚀刻率。X轴是从300mm的基底的中心处沿着直径的距离,其中0mm表示基底102的中心处,(-150mm)表示第一个圆周处,(150mm)表示与其相对的另一个圆周处。当所通入的蚀刻气体流经周边的气体出口142时,位于基底102中心区域144的图案85的蚀刻的速率向下凹且比位于基底102其两个周边区域146的图案85的蚀刻的速率缓慢。当所通入的蚀刻气体仅流经中心的气体出口140时,位于基底102中心区域144的图案85的蚀刻的速率大于位于基底102其两个周边区域146的图案85的蚀刻的速率。当气体流同时流经中心和周边的气体出口140、142时,位于基底102中心区域144和周边区域146的图案85的蚀刻速率的差异性较低,约为1150至1275埃/分钟。此期望例显示以具有中心和周边气体出口140、142的气体配管134控制不同气体流的闭控制回路可减少蚀刻率的差异性,并且可以明显的增进基底102的蚀刻率的均匀性。
图4表示设定气体配管134的流体分流器132,将气体流提供在(i)仅在周边气体出口142或(ii)仅在中心气体出口140,或是(iii)同时在全开(1∶1)的中心和周边气体出口140、142或(iv)同时在半开50%(0.5∶0.5)的中心和周边气体出口140、142的情形。气体流仅通过中心气体出口140时,基底102的中心区域144的蚀刻率较高,其值高达6200埃/分钟,而周边区域146则具有较低的蚀刻率,其值约为5800。气体流仅通过周边气体出口142时,基底102的中心区域144的蚀刻率较低,其值约为4500至5000埃/分钟,而周边区域146则具有较高的蚀刻率,其值约为6000埃/分钟。气体流同时通过中心和周边气体出口140、142时,其蚀刻率在5200至6000埃/分钟之间变化。蚀刻率可测量尺寸而得知,例如是测量图案85的厚度或深度。
图5表示另一尺寸的基底在一半径区域的蚀刻图案85的预定的倾斜角随着流经周边气体出口142和中心气体出口140之间的流率的增加的变化情形,其中半径区域系指从基底102的中心区域144的区域至周边区域146。其中,0表示仅有中心气体流,而1表示仅有周边气体流。所蚀刻的图案85的倾斜角,是仿真在半径区域上线宽为0.18微米且彼此分离的图案85进行蚀刻之后的结果。此图显示控制气体流分布对蚀刻图案85的倾斜角有很大的影响,控制气体流分布的方法是控制流经中心和周边的气体出口140、142的蚀刻气体的流率。当蚀刻气体仅通过中心气体出口140时,基底102的中心区域144上图案85在蚀刻后的倾斜角大于84度;而基底102的周边区域146之上的图案85的倾斜角则接近所期望的82度。相反地,当所有的蚀刻气体流经周边的气体出口142时,图案85的倾斜角较小,为77度至小于80度,且位在周边区域146的图案的倾斜角高于位在中心区域144者。将周边气体流率和中心气体流率的比值设在约为2∶1至4∶1,较佳的是约为3∶1时,可获得良好的倾斜角均匀度。因此,基底102中图案密集区的图案85在进行蚀刻之后可具有相似的图案。
图6是依据倾斜蚀刻仿真模型所绘示的预测的倾斜角均匀度和周边气体出口的气体流率以及中心气体流率的比值的关系图。当流率比约为75%时,也就是流经周边气体出口和中心气体出口142、140的蚀刻气体的流率比为3∶1时,具有理想的倾斜角范围,约为1,其表示孤立区和密集区的图案(isolated and dense feature)的倾斜角相同,为最佳的倾斜角均匀度。流率比为3∶1时,整个基底102上的蚀刻图案的倾斜角的差异性最小。
图7显示以新制造和基线制造(baseline process)进行蚀刻,其基底102半径上不同点的蚀刻图案85所测量的倾斜角的比较图。在此例中腔室104中的气体配管122包括第一气体出口140或称为中心气体出口140以及第二气体出口142或称为周边气体出口142。中心气体出口140可以将所导入的蚀刻气体与基底102平面的法线夹10度角;而周边气体出口142可以将所导入的蚀刻气体与基底102平面的法线夹30度角。气体出口140、142是钻入直径宽为10英时且由石英制成的气体配管134之中。流率比为3∶1的制造,其倾斜角可增加至84至86度;而基线制造所得到的倾斜角则为82度至84度。倾斜角的平均值增加,表示其比基线制造所得的倾斜角增加1至5度。倾斜角的范围(range of taper angle)亦可窄到约为2至2.5度,特别是必须考虑到所增加的倾斜角的大小时,其应显示出较高的变异性,而不是较低的变异性。所蚀刻的图案85的平均深度增加到约为2800埃至2900埃,1σ统计偏差减少为44至69。此结果表示可以改进进行基线制造中基底102上不同区域144、146的图案的较低的平均倾斜角以及较高的倾斜角变异范围。
控制磁场以调整图案尺寸:
从基底102上不同区域144、146所测得的制造监测信号亦可用来控制不同区域的图案85的制造,其可将制造区112的不同区块136、138的磁场强度设定成不同水平或是多重的强度水平。具有磁场产生器170时,腔室控制装置300包括一磁场控制指令集392,以控制腔室104中局部制造区块136、138的磁场强度。例如,磁场强度控制指令集392可提供一指令给磁场产生器170,以产生一磁场,其在制造区块136、138的磁场强度为第一磁场强度和第二磁场强度,以使基底102的第一和第二区域144、146暴露在强度不同的磁场中。制造反馈控制指令集388可评估制造监测指令集384,并送出一指令给磁场控制指令集392,以操作磁场产生器170,依据所评估的信号设定不同的磁场强度。可调变的磁场强度可用来控制基底102其不同区域144、146的电浆鞘的密度,并施加一旋转或可变的磁场以激化电浆离子,或维持电浆并减少散布的电浆进入到排出口158之中。磁场强度可独立控制,以调整基底102其不同区域的磁场,使其具有特定的强度。然而,在一制造中,若是两个磁场强度之间的差为一定值,磁场产生器170可采用制造处方,将腔室104中两个不同区域设定成两个定值的磁场强度。例如在基底102的中心区域144设定成一第一定值的磁场强度;将基底102的周边区域146设定成第二定值的磁场强度。
以不同的磁场强度来控制基底102的不同区域144、146所通过的电浆物种或其运动,可控制不同区域的制造特性。例如,为符合基底102的中心和周边区域144、146上被蚀刻的图案85的特性,可在基底102上径向的第二周边区域146上施加第一磁场强度;而在基底102的第一中心区域144施加第二磁场强度,其中第一磁场强度高于第二磁场强度,例如是至少约高出20%,甚至40%。调整施加在磁场产生器170的电流的频率等等,可设定不同区域144、146的磁场强度,以在内部区域的外围的外部区域146上提供较多的激化电浆离子。
一种位在腔室104a上的磁场产生器170的变化例,例如是一美国加州的美商应材的MxP+或eMax型腔室,如图1F和1G所示。磁场产生器170可在腔室104a的制造区112产生一可控制的磁场。磁场产生器可包括永久磁场或电磁,如1989年6月27日申请的美国专利第4,842,683号所述的实例,此专利的内容并入本案参考。在一实施例中,如图1F所示,磁场产生器170包括一同心电磁对组件202a-h,在其产生一个与基底102的平面平行的旋转磁场时,可控制半径空间的电浆的分布密度。旋转磁场具有一角度方向,且其大小随着时间而改变,且是电磁202a-h所产生的磁场的向量和。电磁对200a、200b包括两个电磁200a、200b,其是同心共面,可产生一个具有中心磁场强度和周边的磁场强度的磁场。同心电磁202a-h是设置在腔室104a附近,且是以电磁电源204供应电源,其是分别供应电源给电磁202a-h,并且可藉由腔室控制装置300来调整施加在电磁202a-h上的电流,以分别独立控制中心和周边的磁场强度。电磁电源204亦可将能量供给电磁对,以产生旋转且多方向的磁场。
电磁200a-h的位置,是设置在可以在腔室104a的不同蚀刻区块136、138中产生第一和第二磁场强度向量BB、BC的位置上。磁场强度向量BB、BC具有彼此垂直的两个磁向量Bx、By,其与基底的承载表面116系大致平行,如美国专利第5,215,619号所揭露者,其内容并入本案参考。电磁电源204具有数个公知的电磁电源系统202a-h,以依据腔室控制装置300所提供的指令来控制施加在电磁200a-h的电流的大小和方向。相关的电流决定了线圈所产生的磁场的方向和大小。或者,腔室控制装置300可以控制被定位在电枢中的铁电材料的一组永久磁铁的振荡运动,此电枢可以圆形/椭圆形形式被转动,或者在线性的方向震荡。电磁场产生器170产生的互相垂直的磁场向量By与Bx,可由函数Bx=Bcosθ,By=Bsinθ来定义。给定磁场B的值以及他的角度方向θ,便可以从此方程式解出相关联的磁场向量By与Bx,以在每一个蚀刻区块136、138提供所需要的场强度与方向。
而且,旋转磁场的角度方向和大小,可以藉由电磁200a-h或是磁铁的旋转装置的改变而快速或慢速地改变。腔室控制装置300可随着时间改变,使其磁场在各个角度的方向上、角度渐增函数的方向上、磁场强度上。因此,可以以所选择方向和时间的增量来将磁场环绕在基底102周围。如有需要,若是制造的条件或是腔室的构造需要定值的磁场强度,磁场BB的大小可予以改变。较佳的是,磁场是以2至5秒/转的缓慢速率旋转,其可藉由依序改变电磁200a-h的电流或是旋转永久磁场来使其旋转。这种以缓慢的速度将多阶段的磁场施加在基底102的不同区域144、146上可增加整个基底102的均匀度,而不是仅增加单一方向的基底102的均匀度。基底上旋转的磁场可增加基底102的带电电浆物种的循环和激化的程度。
图9表示在一蚀刻制造磁场产器所施加的磁场强度和基底102的中心区域144与周边区域146的蚀刻率的关系图。曲线197(方形线)表示周边区域146在不同的磁场强度下的蚀刻率;曲线(菱形线)表示中心区域144的蚀刻率。在未施加磁场时,位于中心的图案的蚀刻率高于基底102周边处的蚀刻率。然而,随着磁场强度的增加,在约为10高斯时,周边的蚀刻率将会高于中心的蚀刻率。在约为27高斯时,中心蚀刻率具有一局部最大值,在约为42高斯时,周边蚀刻率具有一局部最大值,且在中心蚀刻率和周边蚀刻率之间具有一局部最大的不均匀。当两条曲线交叉在磁场强度为10高斯时,具有较佳的蚀刻均匀度。此图显示图案85可以藉由磁场产生器170所产生的可控制的磁场来加以控制。
或者,请参照图1G,在控制电浆在半径空间的密度分布时,电磁200i、200j可以设置在可以使得所产生的磁场大致与基底102的平面垂直的位置上。典型的磁场产生器170,可在基底102周边或周边的外产生高强度的磁场。如图1G所示,当离子201试图以径向向外的速度离开限制的区域时,增加磁场将产生一个ExB力,而使离子绕行再拉回到限制的区域。磁场产生器170可包括一个或多个电磁200i、200j,其大致呈径向对称,设置在制造腔室104上。例如,磁场可以径向同心设置在相同或不同的平面上。磁场产生电源供应装置202i、202j可由腔室控制装置300来独立控制。这些电流可控制成各种的大小或方向,以产生一磁场,使电浆在径向空间的密度分布具有所期望的形状。
腔室控制装置300,可依据一密闭反馈电路的制造监测器180的资料来调整电源供应装置202i、202j,以产生一个具有所需向量的磁场图形的磁场。例如,腔室控制装置300可在一开始时产生一个预定的磁场,其是用来产生一可靠的电浆密度分布。制造监测器180将反馈资料传给腔室控制装置300,以半径函数显示制造的属性。制造监测器180可以以半径函数显示整个基底的制造属性,腔室控制装置300可以藉由维持静止状态的磁场来响应。或者,制造监测器180可显示出制造属性是偏离了预先选择的所需图案,并且腔室控制装置300可调整电源供应装置202i、202j,以修正偏离的情形。
腔室控制装置300可由需要的状态来过度调整将来的磁场,以补偿过去的偏差,进而在经过一段所需要的时间后得到整合属性的图形。例如,在蚀刻基底102时,期望可以在蚀刻制造结束时得到预期的半径净蚀刻分布(radial net etch distribution)。若是制造有暂时偏离所期望的分布时,腔室控制装置300会立刻调整磁场进行实时补偿,以暂时产生一个与所期望的分布相反的结果。例如,有一段时间发生偏离,则可在在腔室控制装置300将磁场控制回所需的静止状态之前,在同样的一段时间里使其产生相反的偏离来进行修正,或者,较佳的可以在更短的时间内使其产生明显的相反的偏离以进行修正。
请参照图1G,在一实施例中,磁场产生装置170包括两个同心电磁200i、200j。依照施加在这一些电磁200i、200j的电流的大小和方向,磁场强度将垂直于基底102的表面。图8A-8C系绘示三种磁场强度的曲线实例,其磁场强度为基底的半径的函数。图8A表示一种电流仅通过外电磁200i,而没有通过内电磁200j的磁场强度。制造气体通常存在于曲线的“谷底”处,其二次微分为正值。例如,在此实施例中,电浆存在于基底102的中心区域136上方的碟形区中。图8B表示一种电流以同方向同时通过外电磁200i和内电磁200j的磁场强度。此例中,电浆存在于基底102的周边区域138上方的窄圆环中以及基底102的中心区域136的碟形区中。图8C表示电流以反方向同时通过外电磁200i和内电磁200j的磁场强度。在此例中,电浆存在于基底102的周边区域138上方的宽圆环中。因此,电浆的径向密度分布可藉由磁场强度(其为半径的函数)的控制来加以控制。
请参照图1B,腔室控制装置300可调整气体配管134和磁场产生装置170,以产生一所需的电浆径向分布和溢流图形(overall flow pattern)。例如腔室控制装置300可包括一查询表394,如图2B所示,其依据所设定的气体流率以及电磁电流来表示,以有效朔造电浆流和电浆分布。查询表394也可依照现场的电浆状态来表示,以达成电浆所需的磁场状态。在一实例中,可能需要立刻改变电浆流,使其由一现有的磁场状态改变成所需的磁场状态,但是,亦可能需要控制排出节流阀(exhaust throttle valve)163和气体配管134以达成所需的磁场状态,腔室控制装置300亦可以快速改变在制造区112的磁场,以重新调整电浆分布,更快速达到所需的磁场状态,并且减少制造气体的消耗。
控制气体增能电源的水平以调整图案的尺寸:
腔室控制装置300亦可包括一可程序化编码,其包括一气体增能器控制指令集380,以控制腔室104的局部制造区中的感应磁场。例如,气体增能器控制指令集380可提供指令给天线174的不同线圈179a、179b,以产生一感应磁场,此感应磁场在基底102的第一区域144和第二区域146上方分别具有一可控制的第一强度和第二强度。制造反馈控制指令集388会评估由制造监测指令集384所发出的信号,并送出一指令给气体增能器控制指令集380,以分别操作天线174的线圈179a、179b,并依据信号设定不同的磁场强度。各感应的磁场强度可独立控制,使得基底的该区可以调整到所需的特定强度值。此外,气体增能器控制指令集380亦可采用一制造处方,使天线174调整到一信号电源水平,以在基底102上产生所需的感应磁场强度,使基底102的图案85的蚀刻较均匀或一致。
以下实例显示在图1C所示的DPS形腔室中,在天线174的线圈179a、179b所施加的电流的电源水平的结果。图10表示在天线上施加不同水平的电源时,基底102的中心区域144到周边区域146的图案蚀刻率的改变情形。当电源为800瓦时,图案的蚀刻率为4500-6000埃/分钟;当电源变小到550瓦时,图案的蚀刻率则变小为5000-5500埃/分钟。图案蚀刻率的变异由Δ1500减少到Δ500埃/分钟,其结果表示蚀刻率的变异减少三倍。因此,在基底102的中心区域136和周边区域138设定特定的或是不同的电源水平,可增进整个基底102上的图案85的蚀刻均匀度。
控制基底区域的温度以调整图案的制造:
一种变化是,以腔室控制装置操作腔室,以使基底其不同的区域维持不同的温度。例如,腔室可具有辐射加热组件(未绘示),例如是远红外线灯源或电阻线,其可设置在同心圆中或是基底支座114的正上方或正下方。各组同心灯源或电阻线的线圈可单独供电,以控制其温度。因此,腔室控制装置可在各制造区块中产生不同的温度。例如,在同心的制造区中可以产生环状的温度范围以控制暴露在不同区的图案85的制造速率。
一种变化是,支座114具有多个温度控制区。例如支座14可具有两个同心区,其可接收并维持基底102背部的径向内部区域和外部区域的热传送气体。例如,如图1H和1I所示,基座的114的承载表面116可至少包括一气体输入口115和一气体排出口117,其中气体输入口115可将热输送到基底102下方;气体排出口117可将气体排出或再利用。一种变化如图1H和1I所示,其气体排出口117位于基座114的中心处;气体输入口115其包括多个输入口115,系与气体排出口117同心。气体输入口115可将所热传送气体通入基底102的背部和支座114的承载表面116之间的空间之中。气体输入口115所供应的热传送气体例如是非反应性气体如氦气和氮气。气体输入115中所通入的热传送气体流经承载表面116,在经由最小的流动阻力的路径流到气体排出口117。
热传送气体所经过的路径的流体力学流阻(hydrodynamic flow resistance),决定了路径末端的各区125a、125b的热传送气体的压力的差异性。气体输入口115和气体排出口117之间的流动阻力可由一非密封的突起物119来加以控制。突起物119系环绕并且至少是部份环绕在气体输入口115(未绘示)或是气体排出口117(如所示)的周围,以作为气体阻障(gas barrier),藉以阻挡或减少气体输入口115和气体排出口117之间气体的流动。非密封突起物119不会与下层基底102形成一不透水或不透气的密封结构。非密封的突起物119的形状系选自于可以使得流体所通过的承载表面116的区域具有所需的流动阻力者。
流体所通过的承载表面116的区域的流动阻力增加,将导致该区域125b的气体压力增加,并减少区域125a的气体压力。气体压力较大,基底102的热传送速率较高;气体压力较小,则热传送速率较低。支座114亦可包括一密封的突起物123,其是在环绕在基底102的周边区下方的支座114的周边,其和基底102接触,并且与基底102形成一大体上不透气的密封物,以减少热传送气体在送入腔室104时漏气的现象。或者,亦可监测这两个区域的基底背部的温度,并且可以恒温装置(未绘示)来调整热传送气体的流率,以使基底背部达成所需的温度分布。
虽然本发明以较佳的变化详细说明如上,然而,亦可能还有其它的变化。例如,本发明的装置可用于其它的腔室中或其它的制造中,例如是在基底102上形成图案85的沉积制造。因此,本发明的申请专利范围不限定于上述较佳变化的内容。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视后附的权利要求为准。

Claims (21)

1.一种基底制造装置,其特征在于,包括:
(a)一个制造腔室,包括:
(i)一个基底支座,用以承载一个基底,该基底具有一个第一区域和一个第二区域;
(ii)一个气体配管,用以将一气体导入该制造腔室中;
(iii)一个气体增能器,以使该气体增能,以便在该基底上形成多个图案;
(iv)一个气体排出口,用以排出该气体;
(b)一个制造监测器,用以:
(i)监测该基底的该第一区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第一信号;
(ii)监测该基底的该第二区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第二信号;
(c)一个腔室控制装置,用以接收该第一信号与该第二信号,并操作该基底支座、该气体配管、该气体增能器或该气体排出口,以设定多个制造参数,其中多个制造参数包括一个或多个气体流率、气体压力、气体增能电源的水平以及基底温度,以对多个第一区域与第二区域的图案进行制造,补偿形成在多个第一和第二区域上的图案尺寸上的差异。
2.如权利要求1所述的基底制造装置,其特征在于:所述腔室控制装置中具有程序化编码,以选择并设定多个制造参数,以一个第一制造速率处理该第一区域的多个图案,并同时以一个第二制造速率处理该第二区域的多个图案,以在制造结束时,该第一区域的多个图案的尺寸与该第二区域的多个图案的尺寸大致相同。
3.如权利要求1所述的基底制造装置,其特征在于,所述制造腔室包括:
一个第一制造区块,位于该基底的该第一区域上方;
一个第二制造区块,位于该基底的该第二区域上方;
其中该制造控制装置中具有一可程序化编码,以选择并设定一制造参数,该制造参数在该第一制造区块上设定在一个可控制的第一水平,并在该第二制造区块上设定在一个可控制的第二水平。
4.如权利要求1所述的基底制造装置,其特征在于,所述腔室控制装置中具有一可程序化编码,用以:
(1)将该制造参数设定在该可控制的第一水平,并与该第一信号的大小成比例,并将该制造参数设定在该可控制的第二水平,并与该第二信号的大小成比例;
(2)操作该气体配管,以设定一气体流率,该气体流率在该第一制造区块上设定一第一流率,并在该第二制造区块上设定一第二流率;
(3)操作该气体增能器,以设定一气体增能电源水平,该气体增能电源水平在该第一城区块设定一第一电源水平,并在该第二制造区块上设定一第二电源水平;或
(4)操作位在该制造腔室上方的一个磁场产生器,以设定一可控制的磁场强度,该可控制的磁场强度在该第一制造区块设定一第一磁场强度,并在该第二制造区块设定一第二磁场强度。
5.如权利要求1所述的基底制造装置,其特征在于:所述腔室控制装置中具有程序化编码,所述具有程序化编码的腔室控制装置是依据该第一信号和该第二信号,以由该制程制造控制装置的一内存中所储存的一查询表的多个制造处方中选择一制程制造处方。
6.如权利要求1所述的基底制造装置,其特征在于,所述腔室控制装置中具有一可程序化编码,以改变该制造腔室的该制造参数,使该制造参数从处理一初始基底的初始制造参数改变为处理一批次基底的批次制造参数,其中该批次基底与该初始基底具有相似的特性。
7.如权利要求1所述的基底制造装置,其特征在于,其中形成在该基底的多个图案包括一主方向,所述制造监测器包括:
一个第一干涉仪,用以探测该基底的该第一区域所形成的多个图案的反射光,以产生该第一信号;
一个第二干涉仪,用以探测该基底的该第二区域所形成的多个图案的反射光,以产生该第二信号。
8.一种基底的制造方法,其特征在于,包括以下步骤:
(a)将一基底置于一制造腔室的一制造区中,该基底具有一个第一区域和第二区域;
(b)在该制造区中通入一制造气体;
(c)将该制造气体增能,以在该基底上形成具有间隔开且分离开的多个图案的图形;
(d)排出该制造气体;
(e)监测该基底的该第一区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第一信号;
(f)监测该基底的该第二区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第二信号;
(g)评估该第一和第二信号,并设定该制造区的多个制造参数,以处理该第一区域和该第二区域的多个图案,补偿多个图案的尺寸的差异性,其中该制造参数包括一个或多个气体流率、气体压力、气体增能电源的水平以及基底温度。
9.如权利要求8所述的基底的制造方法,其特征在于:包括设定在该制造区的该制造参数,以一第一制造速率处理该第一区域的多个图案,并同时以一个第二制造速率处理该第二区域的多个图案,以在制造结束时,该第一区域的多个图案的尺寸与该第二区域的多个图案的尺寸大致相同。
10.如权利要求8所述的基底的制造方法,其特征在于,所述制造区包括:
一个第一制造区块,位于该基底的该第一区域上方;
一个第二制造区块,位于该基底的该第二区域上方;且
该方法包括设定一个制造参数,该制造参数在该第一制造区块上设定一可控制的第一水平,并在该第二制造区块上设定一可控制的第二水平。
11.如权利要求8所述的基底的制造方法,其特征在于,包括改变该制造腔室的该制造参数,使该制造参数从处理一初始基底的初始制造参数改变为处理一批次基底的批次制造参数,其中该批次基底与该初始基底具有相似的特性。
12.如权利要求8所述的基底的制造方法,其特征在于,包括:
探测该基底的该第一区域的反射光,以产生该第一信号;
探测该基底的该第二区域的反射光,以产生该第二信号。
13.一种基底的制造方法,其特征在于,包括以下步骤:
(a)将一基底置于一制造腔室的一制造区中,该基底具有一个第一区域和第二区域;
(b)在该制造区中通入一制造气体;
(c)将该制造气体增能,以在该基底上形成具有间隔开且分离开的多个图案的图形;
(d)排出该制造气体;
(e)监测该基底的该第一区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第一信号;
(f)监测该基底的该第二区域上所形成的具有间隔开且分离开的多个图案的图形的尺寸,并产生一个第二信号;
(g)评估该第一和第二信号,并设定该制造区的多个制造参数,以处理该第一区域和该第二区域的多个图案,补偿多个图案的尺寸的差异性,其中该制造参数包括一个或多个气体流率、气体压力、气体增能电源的水平以及基底温度,
其中所述制造区包括:
一个第一制造区块,位于该基底的该第一区域上方;
一个第二制造区块,位于该基底的该第二区域上方;且
该方法包括设定一个制造参数,该制造参数在该第一制造区块上设定一可控制的第一水平,并在该第二制造区块上设定一可控制的第二水平;
并且,至少包括下列其中之一:
(1)将该制造参数设定在该可控制的第一水平,并与该第一信号的大小成比例,并将该制造参数设定在该可控制的第二水平,并与该第二信号的大小成比例;
(2)设定一气体流率,该气体流率在该第一制造区块上设定一第一流率,并在该第二制造区块上设定一第二流率;
(3)设定一气体增能电源水平,该气体增能电源水平在该第一制造区块设定一第一电源水平,并在该第二制造区块上设定一第二电源水平;或
(4)设定一可控制的磁场强度,该可控制的磁场强度在该第一制造区块上设定一第一磁场强度,并在该第二制造区块上设定一第二场强度。
14.一种蚀刻基底的装置,其特征在于,包括:
(a)一个蚀刻腔室,包括:
(i)一个基底支座,用以承载一个基底,该基底具有一中心区域和一周边区域,其中该中心区域暴露于该蚀刻腔室的一个第一制造区块中,该周边区域暴露于该蚀刻腔室的一个第二制造区块中;
(ii)一个气体配管,用以将一气体导入该制造腔室中;
(iii)一个气体增能器,以使该气体增能,以蚀刻该基底上的多个图案;
(iv)一个气体排出口,用以排出该气体;
(b)一个第一光监测装置,用以监测该基底的该中心区域上所形成的多个被蚀刻的图案,并产生一个与所测量的该图案的尺寸成比例的第一信号;
(c)一个第二光监测装置,用以监测该基底的该周边区域上所形成的多个被蚀刻的图案,并产生一个与所测量的该图案的尺寸成比例的第二信号;
(d)一个腔室控制装置,用以接收并评估该第一信号与该第二信号,并操作该蚀刻腔室,以:
(i)将该第一制造区块上的一个制造参数设定在一可控制的第一水平,该第一水平依照该第一信号来选择;
(ii)将该第二制造区块上的该制造参数设定在一可控制的第二水平,该第二水平依照该第二信号来选择,
藉以分别监测和控制该基底的该中心区域和该周边区域上的多个被蚀刻的图案的尺寸。
15.一种蚀刻基底的方法,其特征在于,包括以下步骤:
(a)将一基底置于一制造腔室的一制造区中,该基底具有一中心区域和一周边区域,其中该中心区域暴露于该制造腔室的一个第一制造区块中,该周边区域暴露于该制造腔室的一个第二制造区块中;
(b)在该制造区中通入一蚀刻气体;
(c)使该蚀刻气体增能,以蚀刻该基底上的多个图案;
(d)排出该蚀刻气体;
(e)监测该基底的该中心区域上所形成的多个被蚀刻的图案的反射光,并产生一个与该图案的关键尺寸成比例的第一信号;
(f)监测该基底的该周边区域上所形成的多个被蚀刻的图案的反射光,并产生一个与该图案的关键尺寸成比例的第二信号;
(g)评估该第一信号与该第二信号,并操作该制造腔室,以:
(i)将该第一制造区块上的一制造参数设定在一可控制的第一水平,该第一水平依照该第一信号来选择;
(ii)将该第二制造区块上的该制造参数设定在一可控制的第二水平,该第二水平依照该第二信号来选择,藉以分别监测和控制该基底的该中心区域和该周边区域上的多个图案的关键尺寸。
16.一种蚀刻基底的装置,其特征在于,包括:
(a)一腔室,包括:
(i)一个基底支座,用以承载一基底,该基底具有一个第一区域和一个第二区域;
(ii)一个气体配管,用以将一蚀刻气体导入该腔室中;
(iii)一个气体增能器,使该蚀刻气体增能,以蚀刻该基底上的多个图案;
(iv)一个气体排出口,用以排出该蚀刻气体;
(b)一个第一光监测装置,用以监测该基底的该第一区域中的图案所反射的光,并产生一个与所测量的该图案的尺寸成比例的一个第一信号;
(c)一个第二光监测装置,用以监测该基底的该第二区域中的图案所反射的光,并产生一个与所测量的该图案的尺寸成比例的一第二信号;
(d)一个腔室控制装置,用以:
(i)评估该第一信号与该第二信号,并依据该第一信号和该第二信号选择一个蚀刻制造处方;
(ii)依据该蚀刻制造处方操作该腔室;
藉以分别监测和控制该第一区域和该第二区域上的被蚀刻的图案。
17.如权利要求16所述的蚀刻基底的装置,其特征在于,其中该腔室控制装置适于选择一制造处方,该制造处方包括:
一个第一水平的一制造参数,适用于该基底的第一区域上方的一个第一制造区块上;
一个第二水平的该制造参数,适用于该基底的第二区域上方的的一个第二制造区块上。
18.如权利要求16所述的蚀刻基底的装置,其特征在于,所述腔室控制装置包括一内存,该内存有一查询表,该查询表包括多数个制造处方,各制造处方与该第一信号和该第二信号对有关或是与该第一信号和该第二信号对的数学运算有关。
19.一种蚀刻基底的方法,其特征在于包括以下步骤:
(a)将一基底置于一腔室的一制造区中,该基底具有一个第一区域与个一第二区域;
(b)在该制造区中通入一蚀刻气体;
(c)使该蚀刻气体增能,以蚀刻该基底上的多个图案;
(d)排出该蚀刻气体;
(e)监测该基底的该第一区域的多个图案,并产生一第一信号;
(f)监测该基底的该第二区域上的多个图案,并产生一第二信号;
(g)评估该第一信号与该第二信号,并依据该第一信号和该第二信号来选择一蚀刻制造处方;
(h)依据该蚀刻制造处方设定该腔室的多个制造参数;
藉以分别监测和控制该第一区域和该第二区域上的多个图案的蚀刻。
20.如权利要求19所述的蚀刻基底的方法,其特征在于,其中所选择的制造处方包括:
(i)一第一水平的一制造参数,适用于该基底的第一区域上方的一个第一制造区块上;
(ii)一第二水平的该制造参数,适用于该基底的第二区域上方的一第二制造区块上。
21.如权利要求19所述的蚀刻基底的方法,其特征在于,所述制造处方选自一查询表,该查询表包括多个制造处方,各制造处方与该第一信号和该第二信号对有关或是与该第一信号和该第二信号对的数学运算有关。
CN2011100923380A 2003-08-21 2004-08-20 一种基底制造装置及其制造方法 Pending CN102223765A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/646,943 2003-08-21
US10/646,943 US6829056B1 (en) 2003-08-21 2003-08-21 Monitoring dimensions of features at different locations in the processing of substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100575895A Division CN1596061A (zh) 2003-08-21 2004-08-20 基底制程装置及其制程方法

Publications (1)

Publication Number Publication Date
CN102223765A true CN102223765A (zh) 2011-10-19

Family

ID=33477191

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2011100923380A Pending CN102223765A (zh) 2003-08-21 2004-08-20 一种基底制造装置及其制造方法
CNA2004100575895A Pending CN1596061A (zh) 2003-08-21 2004-08-20 基底制程装置及其制程方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2004100575895A Pending CN1596061A (zh) 2003-08-21 2004-08-20 基底制程装置及其制程方法

Country Status (5)

Country Link
US (1) US6829056B1 (zh)
EP (1) EP1517357A3 (zh)
KR (1) KR20050020724A (zh)
CN (2) CN102223765A (zh)
TW (1) TWI345688B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105136298A (zh) * 2014-04-15 2015-12-09 哈尔滨工业大学 一种差分快照式成像方法
CN110034019A (zh) * 2017-12-13 2019-07-19 东京毅力科创株式会社 含硅膜的蚀刻方法、计算机存储介质和含硅膜的蚀刻装置
TWI743216B (zh) * 2016-10-03 2021-10-21 美商應用材料股份有限公司 用以防止在處理腔室之間的干擾的方法和設備

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4522783B2 (ja) * 2004-08-03 2010-08-11 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US7393459B2 (en) * 2004-08-06 2008-07-01 Applied Materials, Inc. Method for automatic determination of substrates states in plasma processing chambers
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7277990B2 (en) 2004-09-30 2007-10-02 Sanjeev Jain Method and apparatus providing efficient queue descriptor memory access
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7418543B2 (en) 2004-12-21 2008-08-26 Intel Corporation Processor having content addressable memory with command ordering
US7555630B2 (en) 2004-12-21 2009-06-30 Intel Corporation Method and apparatus to provide efficient communication between multi-threaded processing elements in a processor unit
US7467256B2 (en) 2004-12-28 2008-12-16 Intel Corporation Processor having content addressable memory for block-based queue structures
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7305320B2 (en) * 2006-02-15 2007-12-04 International Business Machines Corporation Metrology tool recipe validator using best known methods
US20080013107A1 (en) * 2006-07-11 2008-01-17 Tokyo Electron Limited Generating a profile model to characterize a structure to be examined using optical metrology
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8012366B2 (en) 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5081497B2 (ja) * 2007-05-22 2012-11-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
KR100905213B1 (ko) * 2007-09-19 2009-07-01 세메스 주식회사 기판 처리 장치
US7639370B2 (en) * 2007-11-07 2009-12-29 Tokyo Electron Limited Apparatus for deriving an iso-dense bias
EP2229574A2 (en) * 2007-11-29 2010-09-22 Nxp B.V. Method of and device for determining and controlling the distance between an integrated circuit and a substrate
US7678588B2 (en) * 2008-01-22 2010-03-16 United Microelectronics Corp. Method for constructing module for optical critical dimension (OCD) and measuring method of module for optical critical dimension using the module
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
TWI407325B (zh) * 2010-05-17 2013-09-01 Nat Univ Tsing Hua 製程品質預測系統及其方法
KR101772723B1 (ko) * 2010-06-28 2017-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
TWI419548B (zh) * 2010-09-16 2013-12-11 Acer Inc 觀賞眼鏡及其影像光束調整方法
JP5745812B2 (ja) * 2010-10-27 2015-07-08 東京エレクトロン株式会社 プラズマ処理装置
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US8932945B2 (en) * 2012-07-09 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment system and method
US9240360B2 (en) * 2012-07-25 2016-01-19 International Business Machines Corporation Run-to-run control utilizing virtual metrology in semiconductor manufacturing
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
EP3069189A1 (en) * 2013-11-14 2016-09-21 Oerlikon Advanced Technologies AG Apparatus and process for annealing of anti-fingerprint coatings
CN103745904B (zh) * 2013-12-31 2016-08-17 深圳市华星光电技术有限公司 一种干法刻蚀机及其刻蚀方法
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
KR101953596B1 (ko) * 2016-09-28 2019-03-04 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 플라스마 처리 방법
TWI628694B (zh) * 2017-05-19 2018-07-01 台灣積體電路製造股份有限公司 排氣裝置、半導體製造系統與半導體製造方法
JP7038563B2 (ja) * 2018-02-15 2022-03-18 東京エレクトロン株式会社 基板処理装置、流量制御方法及び流量制御プログラム
CN112868084A (zh) * 2018-08-17 2021-05-28 朗姆研究公司 用于降低响应于衬底体电阻率变动的沉积或蚀刻速率变化的rf功率补偿
US10886155B2 (en) 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
CN110262429A (zh) * 2019-07-01 2019-09-20 福建工程学院 一种制程机台预防性安全防护智能管理系统
JP7303678B2 (ja) * 2019-07-08 2023-07-05 東京エレクトロン株式会社 基板処理システム及び基板処理方法
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR20230106868A (ko) * 2022-01-07 2023-07-14 피에스케이 주식회사 광 분석 유닛, 그리고 이를 포함하는 기판 처리 장치

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4611919A (en) 1984-03-09 1986-09-16 Tegal Corporation Process monitor and method thereof
JPS60189928A (ja) 1984-03-12 1985-09-27 Fujitsu Ltd 減圧気相成長装置
JPS61241930A (ja) 1985-04-18 1986-10-28 Matsushita Electric Ind Co Ltd プラズマcvd装置
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
JPH0669026B2 (ja) 1985-09-26 1994-08-31 株式会社芝浦製作所 半導体処理装置
US5244501A (en) 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
EP0255454A3 (en) 1986-07-26 1991-11-21 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4980204A (en) 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
GB2213837B (en) 1987-12-22 1992-03-11 Philips Electronic Associated Electronic device manufacture with deposition of material
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JPH01248520A (ja) 1988-03-30 1989-10-04 Hitachi Ltd プロセスデータ処理機能付き半導体製造装置
US4953982A (en) 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
JPH03281780A (ja) 1990-03-30 1991-12-12 Hitachi Ltd Cvd装置
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
JP3243722B2 (ja) 1990-10-24 2002-01-07 住友金属工業株式会社 薄膜の形成方法および半導体装置
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
JPH05206069A (ja) 1992-01-29 1993-08-13 Fujitsu Ltd プラズマエッチング法及びプラズマエッチング装置
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3163343B2 (ja) 1992-09-08 2001-05-08 エア・ウォーター株式会社 半導体製造装置
JPH06124909A (ja) 1992-10-13 1994-05-06 Tokyo Electron Ltd 縦型熱処理装置
US5997950A (en) 1992-12-22 1999-12-07 Applied Materials, Inc. Substrate having uniform tungsten silicide film and method of manufacture
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5372673A (en) 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5405488A (en) 1993-09-13 1995-04-11 Vlsi Technology, Inc. System and method for plasma etching endpoint detection
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08255795A (ja) 1995-03-15 1996-10-01 Sony Corp 半導体製造方法および装置
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
WO1998000576A1 (en) 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
KR100242982B1 (ko) 1996-10-17 2000-02-01 김영환 반도체 장비의 가스 공급 장치
US5913102A (en) 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6294466B1 (en) 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6303507B1 (en) 1999-12-13 2001-10-16 Advanced Micro Devices, Inc. In-situ feedback system for localized CMP thickness control
US6350390B1 (en) 2000-02-22 2002-02-26 Taiwan Semiconductor Manufacturing Company, Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
AU2001251216A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20020190207A1 (en) 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
WO2002035586A2 (en) * 2000-10-23 2002-05-02 Applied Materials, Inc. Monitoring substrate processing using reflected radiation
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6537833B1 (en) * 2001-06-19 2003-03-25 Advanced Micro Devices, Inc. Method and apparatus for characterizing an interconnect structure profile using scatterometry measurements
JP4659359B2 (ja) * 2001-12-31 2011-03-30 東京エレクトロン株式会社 材料処理の方法および材料処理のためのシステム

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105136298A (zh) * 2014-04-15 2015-12-09 哈尔滨工业大学 一种差分快照式成像方法
TWI743216B (zh) * 2016-10-03 2021-10-21 美商應用材料股份有限公司 用以防止在處理腔室之間的干擾的方法和設備
US11335577B2 (en) 2016-10-03 2022-05-17 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
CN110034019A (zh) * 2017-12-13 2019-07-19 东京毅力科创株式会社 含硅膜的蚀刻方法、计算机存储介质和含硅膜的蚀刻装置
CN110034019B (zh) * 2017-12-13 2023-08-08 东京毅力科创株式会社 含硅膜的蚀刻方法、计算机存储介质和含硅膜的蚀刻装置

Also Published As

Publication number Publication date
KR20050020724A (ko) 2005-03-04
TWI345688B (en) 2011-07-21
EP1517357A2 (en) 2005-03-23
US6829056B1 (en) 2004-12-07
TW200508824A (en) 2005-03-01
EP1517357A3 (en) 2009-04-15
CN1596061A (zh) 2005-03-16

Similar Documents

Publication Publication Date Title
CN102223765A (zh) 一种基底制造装置及其制造方法
CN101707189B (zh) 将多层/多输入/多输出(mlmimo)模型用于金属栅结构
TWI689796B (zh) 用於配方選擇的方法、電腦程式產品、及基板量測裝置
CN109917622B (zh) 用于检查和量测的方法和设备
TWI754237B (zh) 量測微影程序之參數之方法、判定微影程序之參數之量測標的、基板及非暫時性電腦程式產品
CN100530206C (zh) 用于多批次控制的方法和系统
CN106462078B (zh) 衬底和量测用图案形成装置、量测方法及器件制造方法
CN106030414B (zh) 目标布置的优化和相关的目标
CN100437895C (zh) 结构检查、图形形成、工艺条件确定及半导体器件制造方法
CN107430352A (zh) 量测方法、量测设备和器件制造方法
CN101542280A (zh) 用多元分析对来自半导体处理系统的计量数据进行变形
CN100373570C (zh) 使用散射技术最优化电路结构的制造过程
TWI685720B (zh) 用於微影設備的度量衡方法
CN107689318A (zh) 监测等离子体处理系统和工艺与工具控制的方法和系统
CN107533299A (zh) 用于检测及量测的方法与装置
CN107924118A (zh) 量测方法、辐射源、量测设备及器件制造方法
CN105874387A (zh) 用于设计量测目标的方法和设备
CN108292103A (zh) 计量方法、目标和衬底
CN106062634A (zh) 测量涉及光刻术的制造过程的过程参数
CN101313308A (zh) 用于监测基板处理的神经网络方法和设备
CN108431695A (zh) 控制图案形成过程的方法、器件制造方法、用于光刻设备的控制系统以及光刻设备
NL2016080A (en) Metrology Method, Metrology Apparatus and Device Manufacturing Method
CN105319865A (zh) 光刻测量装置和光刻测量方法
CN108700816A (zh) 散射测量中的偏振调谐
CN110088685A (zh) 测量衬底的属性的方法、检查设备、光刻系统和器件制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20111019