CN102160149B - 用于在微电子拓扑制造期间防止特征塌缩的方法和系统 - Google Patents

用于在微电子拓扑制造期间防止特征塌缩的方法和系统 Download PDF

Info

Publication number
CN102160149B
CN102160149B CN200980136973.5A CN200980136973A CN102160149B CN 102160149 B CN102160149 B CN 102160149B CN 200980136973 A CN200980136973 A CN 200980136973A CN 102160149 B CN102160149 B CN 102160149B
Authority
CN
China
Prior art keywords
process chamber
fluid
topology
microelectronics
liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200980136973.5A
Other languages
English (en)
Other versions
CN102160149A (zh
Inventor
马克·I·瓦格纳
詹姆斯·P·德扬
托尼·R·克勒克尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102160149A publication Critical patent/CN102160149A/zh
Application granted granted Critical
Publication of CN102160149B publication Critical patent/CN102160149B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用于防止在蚀刻之后特征塌缩的方法和系统包括将漂洗液添加到表面上具有另一漂洗液残留物的微电子拓扑,以及随后将该拓扑暴露于包括处于或大于临界压力的流体的加压室。该方法包括冲刷布置在拓扑上的加压室液体,且在此之后,以足以防止在其中形成液体的方式排空该室。

Description

用于在微电子拓扑制造期间防止特征塌缩的方法和系统
技术领域
各实施例涉及用于加工微电子拓扑的方法和系统,且更具体而言,涉及用于防止在蚀刻包围特征的牺牲层之后特征塌缩的方法和系统。
背景技术
由于其包括在本节中,以下描述和实例将被视为现有技术。
微电子拓扑的制造包括多个加工步骤,包括但不限于沉积、图案化、以及蚀刻材料,以形成对装置结构的编辑。在一些实施例中,导电性结构可形成于微电子拓扑的牺牲层内,且随后可去除部分或全部牺牲层,暴露导电性结构的侧壁。在此之后,可利用去离子水漂洗微电子拓扑,以便去除蚀刻溶液和/或副产物,且随后将其干燥。在一些情况下,漂洗和干燥工艺可使得导电性结构塌缩(即朝向彼此倒塌),使得微电子拓扑不可用。特征塌缩的发生似乎越来越多-特别是由于总是迫切地提高集成电路的加工速度存储密度,结构宽度尺寸持续降低,且导致的纵横比增大。特别地,看起来在一些实施例中,导电性结构的宽度尺寸可被降低到导电性结构之间的水的表面张力会在干燥工艺过程中导致导电性结构塌缩的程度。
为了抑制这种损坏,使用比去离子水具有更低表面张力的漂洗溶液来在蚀刻工艺之后漂洗微电子拓扑。虽然这种技术对于一些制造工艺是有效的,但是该技术容易遭受与用去离子水漂洗相同的损害。具体而言,虽然漂洗溶液比去离子水具有更低的表面张力,但是该溶液确实具有一定水平的表面张力,且因而容易导致特征塌缩,特别是随着特征的宽度尺寸持续减小。此外,一些蚀刻溶液和/或副产物可能并不可溶于具有较低表面张力(即与去离子水相比)的漂洗溶液,因而这种漂洗溶液对于去除残余蚀刻物质而言可能并不有效。因此,通常用来抑制特征塌缩的一种备选技术是在超临界流体环境中干燥用去离子水漂洗的微电子拓扑,因为超临界流体没有表面张力。虽然这种技术已经显示出减少了特征塌缩的发生,但并未证明其可靠地消除了特征塌缩。
因此,开发在漂洗和干燥装置结构的同时-特别是在蚀刻包围结构的牺牲层之后-可靠地防止微电子拓扑内的特征塌的方法和系统将是有利的。
发明内容
一种方法,包括:将微电子拓扑布置在工艺室内,在所述工艺室中,所述微电子拓扑在该拓扑的表面上具有第一漂洗液;以及然后为所述工艺室提供第二漂洗液,直至浸没所述微电子拓扑;以及然后通过不同于所述第二漂洗液的加压流体对所述工艺室加压,所述加压直至所述工艺室内的压力超过大于1000psig的预定压力;以及然后在将所述加压流体提供至所述工艺室的同时排空所述工艺室,所述排空及同时提供加压流体继续进行直至所述工艺室内的所述加压流体的浓度大于预定阈值,且排空以及同时将流体提供至所述工艺室使得所述工艺室中的压力停留在大于1000psig的预定压力以上;以及然后以防止在所述工艺室中形成液体的方式排空所述工艺室。
一种系统,包括:工艺室,限定可密封的区域且构造成承受所述可密封的区域内大于1000psig的压力,所述工艺室包含金属材料;设置在所述工艺室内的衬底支架,所述衬底支架构造成支承至少一个包括微电子拓扑的衬底;联接到所述工艺室和易混合在二氧化碳中的非水成液化学剂型的源上的第一流体入口端口,所述系统构造成通过第一流体端口将所述非水成液化学剂型提供至所述可密封的区域,直至所述微电子拓扑被浸没;联接到所述工艺室和二氧化碳源上的第二流体入口端口,所述系统构造成利用二氧化碳将所述可密封的区域加压到大于1000psig的预定压力以上;在所述工艺室具有高于1000psig的压力时,所述系统构造成同时从所述可密封的区域排空流体并将二氧化碳提供至所述可密封的区域,同时保持所述工艺室内的压力高于所述预定压力;且当内部容积中的二氧化碳浓度是95%或者更高时,所述系统构造成排空所述可密封的区域,使得不形成液体二氧化碳。
附图说明
在阅读以下具体实施方式以及参照附图之后,其它目的和优点将变得显而易见,在附图中:
图1描绘了加工微电子拓扑的示例性方法的流程图;
图2描绘了具有包围在牺牲层内的装置结构的示例性微电子拓扑的局部截面图;
图3描绘了在去除了牺牲层之后的图2中描绘的示例性微电子拓扑的局部截面图;
图4描绘了由于暴露于去离子水漂洗工艺而在拓扑表面上具有水成液的残留物的、图3中描绘的示例性微电子拓扑的局部截面图;
图5描绘了使拓扑的装置结构浸入非水成液化学剂型中的、图4中描绘的示例性微电子拓扑的局部截面图;
图6描绘了用于从微电子拓扑去除非水成液化学剂型的排空工艺之后的图5中描绘的示例性微电子拓扑的局部截面图;
图7描绘了在用于将微电子拓扑的环境中的超临界流体转变成气态或者在通流工艺中从包括微电子拓扑的工艺室冲刷超临界流体的排空工艺之后的、图6中描绘的示例性微电子拓扑的局部截面图;
图8描绘了针对加工微电子拓扑而构造的示例性工艺室的截面图;以及
图9描绘了二氧化碳的压力-温度相图。
虽然本发明可能倾向于经历各种修改和备选形式,但是其具体实施例以实例的方式在附图中示出,并且将在本文中详细描述。然而,将理解,附图以及对其详细描述不意图将本发明限制于所公开的特定形式,而是相反,意图覆盖落在所附的权利要求所限定的本发明的精神和范围内的所有修改、等效物以及备选方案。
具体实施方式
贯穿以下的说明书和权利要求书,使用了某些术语来指代特定的系统构件。如本领域技术人员将了解,半导体公司可用不同的名称来指代构件。本文档不是为了区分名称不同而非功能不同的构件。在以下论述以及权利要求书中,以开放的方式使用术语″包括″和″包含″,因此它们应当被解释为表示″包括但不限于......″。同样,术语″联接″意图表示直接连接或间接连接。因而,如果第一装置联接到第二装置上,则连接可通过直接连接或者经由其它装置和连接件通过间接连接。
关于将漂洗液(例如非水成液)提供至工艺室,″装填″,″在装填″和″装填过的″将表示用漂洗液置换工艺室内的基本全部容积,使得不存在可感知的液-气界面。然而,在隔离区域(例如,用于其它液体或气体的入口端口,上升的液体截留气体所处的室的上表面上的有限大小的位置)存在液-气界面不应排除工艺室被装填的状态。
″排空″将表示从工艺室去除流体(不管是低于临界还是超临界)。然而,排空不应理解为需要将去除的流体排放到大气,因而不管去除的流体是被排放到大气、提供至洗涤系统还是被存储在容器中,流体的去除都仍然应当被认为是排空。
″临界压力″应当为处于或高于该压力时流体可转变到超临界流体状态(如果流体同样处于或高于临界温度)时的压力。然而,将流体的压力(或者流体处于其中的工艺室的压力)升高到临界压力不应理解为要求该流体处于超临界流体状态-流体可处于或高于临界压力,但是仍不具有足够的温度来成为超临界流体。
″临界温度″应当是这样的温度:在该温度时或者高于该温度时,流体可转变到超临界流体状态(如果流体类似的处于或者高于临界压力)。然而,将流体的温度升高到临界温度不应被理解为需要流体处于超临界流体状态-流体可处于或者高于临界温度,但还不具有足够的压力来成为超临界流体。
″水成液″应表示仅包含水或者包含水与一种或多种其它溶剂的物质。
″漂洗液″应表示作为漂洗步骤的一部分应用于微电子拓扑的物质(例如水成液),或者应用于微电子拓扑以便稀释、乳化或者去除应用于漂洗步骤的物质的物质(例如稀释或去除水成液)。
现在转到附图,图1-8中示出及描述了用于防止微电子拓扑内的特征塌缩的方法和系统的示例性实施例。特别地,在图1中描绘了用于防止微电子拓扑内的特征塌缩的示例性方法的流程图。图2-7示出了在图1中概述的方法的中间阶段期间的示例性微电子拓扑的局部截面图,并且因此结合图1来论述。参照图2-7,注意到使用了相同的参考标号来指示在不止一幅图中示出且可能类似地构造的元件。图8示出了用于执行本文所述的方法的一个或多个过程步骤的示例性工艺室。以下参照附图来提供方法以及参照这样的方法所使用的溶液和系统的具体细节和各实施例。
注意,本文描述的方法不必然限于图1中描绘的流程图。特别地,本文所述的方法可包括图1中未显示的用于制造微电子装置和/或电路的另外的步骤,包括在图1所示的步骤之前、之间和/或之后执行的步骤。此外,本文所述的方法可应用于具有与图2-7中所示的那些构造不同的构造的微电子拓扑。如本文所用,术语″微电子拓扑″可大体指代具有用来形成微电子装置和/或电路的一个或多个层和/或结构的拓扑。因此,该术语可指代在制造微电子装置和/或电路过程中的任何阶段所使用的拓扑。微电子拓扑也可称为″半导体拓扑″,因此,这些术语在本文中可互换地使用。
此外,用来执行本文所述的方法的一个或多个过程步骤的工艺室并不限于图8。例如,工艺室可包括图中未示出的另外的或备选的构件。还要注意,图8描绘的工艺室的构件并不一定按比例绘制,而室和包括该室的构件可包括不同的构造。此外,图2-7中示出的拓扑不一定按比例绘制。特别地,一些图中的一些层和/或结构的大小可能放大很多,以便强调拓扑的特性。此外,图中描绘的层可扩展到由层的弯曲侧边缘指示的半导体拓扑的其它区域。因此,本文所述的方法和系统不一定限于图中的描绘。
如图1的框10中所示,本文所述的方法可包括在微电子拓扑内选择性地蚀刻包围多个装置结构的牺牲层。在图2中示出了可应用这种选择性蚀刻工艺的示例性微电子拓扑的部分截面图,且在图3中示出了由该选择性蚀刻工艺产生的拓扑。如图2中所示,微电子拓扑40可包括布置在半导体层42上并且包围装置结构44的牺牲层46。在一些实施例中,半导体层42可为半导体衬底,诸如单晶硅、砷化镓、磷化铟、硅-锗、绝缘硅片或者硅蓝宝石衬底。在这样的情况下,半导体层42可掺杂为n-型或p-型,在一些实施例中,扩散区和/或绝缘区可形成于其中。在其它情况下,半导体层22可包括形成于半导体衬底上的结构和层。该结构和层可包括但不限于电介质层、金属化层、门结构、接触结构、通孔或部分互连线。
装置结构44的材料可包括半导体行业中用于导电性装置结构的任何材料,包括但不限于多晶硅、铝、铜、钛、氮化钛、钨和/或它们的任何合金。虽然本文所述的方法可应用于具有任何尺寸的装置结构的拓扑,但是该方法可部分地应用于具有等于或大于大约10∶1的纵横比的装置结构的拓扑,因为具有这种纵横比的装置结构大体更容易经受特征塌缩。本文所使用的术语″纵横比″指的是特征的高度与宽度的比率。装置结构44的示例性宽度可介于大约10nm与大约100nm之间,且装置结构44之间的示例性间距可介于大约15nm和大约35nm之间。然而,特别是随着技术发展来减小装置尺寸,可考虑其它尺寸的宽度和/或间距。虽然不一定局限于此,但在一些实施例中,装置结构44可通过镶嵌工艺形成。特别地,装置结构的材料可沉积在牺牲层46的沟槽内,而拓扑可随后被抛光以去除在图2中所示的拓扑中得到的牺牲层的上表面上的装置结构材料的部分。
大体上,牺牲层46可包括相对于用于图1中的框10概述的工艺的装置结构44的材料可选择性地去除的任何材料。示例性材料包括但不限于二氧化硅(SiO2),正硅酸乙酯玻璃(TEOS),氮化硅(SixNy),氮氧化硅(SiOxNy(Hz)),二氧化硅/氮化硅/二氧化硅(ONO)或者大体任何氧化物层。如本文所用,术语″氧化物层″可指代包括结合在其中的氧原子的层。无论如何,牺牲层46可为掺杂的或未掺杂的。因此,在一些实施例中,牺牲层46可包括硼磷硅玻璃(BPSG),磷硅玻璃(PSG)或者氟硅玻璃(FSG)。
选择性蚀刻工艺可去除靠近装置结构44的部分牺牲层46,使得该装置结构的侧壁表面暴露。如图3中所示,在一些情况下,可执行选择性蚀刻工艺48而使得装置结构44为独立式的。无论如何,注意到装置结构44包括微电子拓扑40的上表面。选择性蚀刻工艺可去除微电子拓扑40上的整个牺牲层46,或者可仅去除装置结构44附近的一部分牺牲层46。在后一种实施例中,预定保留在微电子拓扑40内的牺牲层46的部分(即,未邻近装置结构44的牺牲层46的部分)可被掩膜以进行选择性蚀刻工艺。
在任何情况下,选择性蚀刻工艺可包括湿式或者干式蚀刻工艺,且可采用可应用于去除牺牲层46、同时基本保留装置结构44的化学方法。例如,示例性干式蚀刻工艺可采用氯或者氟基的等离子体蚀刻剂,诸如CF4和/或CHF3。备选地,例如,示例性湿式蚀刻工艺可采用浓缩氟化氢溶液。例如,可使用具有介于大约1.0%和大约49.5%之间的(更具体而言,介于大约5.0%和24.5%,其余为水)氟化氢质量浓度的溶液。在一些实施例中,湿式蚀刻溶液可包括缓冲剂,诸如质量浓度为大约0.5%与大约25%之间的氟化铵。可在处于或接近大气压力下执行湿式蚀刻工艺,而干式蚀刻工艺可取决于所使用的技术而包括各种压力。选择性蚀刻工艺的持续时间取决于所使用的蚀刻工艺和蚀刻剂的类型,但是一种示例性时间段可介于大约20秒与大约1分钟之间。
如图1的框12以及图4的漂洗工艺50中所示,该方法可包括在选择性蚀刻工艺之后利用一种或多种水成液剂型漂洗经蚀刻的微电子拓扑。这种工艺用来从拓扑去除残余蚀刻溶液和/或蚀刻副产物,在一些实施例中,可进一步用作向较低的液体表面张力过渡的漂洗。漂洗工艺50的持续时间可有所不同,但是在一些情况下小于大约60秒。在一些情况下,漂洗工艺50可采用单一的漂洗剂型(即,在漂洗工艺期间不会使其成分改变的单一的水成液剂型)。在备选实施例中,漂洗工艺50可采用被接连地添加到微电子拓扑40上的多种以及不同的漂洗剂型。特别地,漂洗工艺50可包括将具有不同浓度去离子水的水成液剂型顺序地添加到微电子拓扑40上。在另外的其它情况中,漂洗工艺50可包括逐渐改变添加到微电子拓扑(例如通过改变溶液中的水的浓度)的漂洗溶液的成分。这样,微电子拓扑上的漂洗溶液及其相关联的表面张力就可以线性的方式而非梯度的方式来改变。
无论如何,在一些情况下可能有利的是采用这样的水漂洗剂型:该剂型主要包括去离子水,在一些情况下,至少在漂洗工艺50期间的某一点处(在一些情况下,特别是在漂洗工艺开始时)基本由去离子水构成。特别地,因为蚀刻溶液和副产物有时可比其它液体漂洗材料更易溶于水,具有这种高水浓度可允许溶液和副产物更容易从微电子拓扑中去除。因此,在漂洗工艺50包括被接连地添加到微电子拓扑40的多种以及不同漂洗剂型的情况下,在一些实施例中可能有利的是添加到该拓扑的第一漂洗剂型主要包括去离子水,在一些情况下,基本由去离子水构成。备选地,在漂洗工艺50期间漂洗溶液成分改变的情况下,该工艺可利用主要包括去离子水且在一些情况下基本由去离子水构成的漂洗剂型开始,且然后逐渐对其添加更大浓度的另一液体溶剂。注意,除了上述优点之外,在一些实施例中,在漂洗工艺50开始时(以及漂洗工艺50的其它阶段),可采用小于去离子水的初始浓度的漂洗剂型,且取决于制造工艺的规格要求其本身是有利的。
无论如何,如以上所提到的,在一些情况下,漂洗工艺50可包括将具有不同的去离子水浓度的漂洗剂型添加到微电子拓扑40,或者可包括通过改变溶液中水的浓度逐渐改变添加到微电子拓扑40的漂洗溶液的成分。在这种实施例中,一种或多种水成液剂型的剩余部分(即除了去离子水之外的成分)可包括具有比去离子水更低的表面张力的一种或多种液体材料。合适的材料包括极性或者非极性溶剂以及溶剂混合物,包括但不限于己烷、戊烷、庚烷、甲醇、乙醇、丙醇、异丙醇以及丙酮。
除了这样的情况,漂洗剂型(一种/多种)可在一些实施例中配置成随着漂洗工艺50进行而降低添加到微电子拓扑40的去离子水的浓度。理论上,漂洗工艺50的这种配置可有利地通过在漂洗工艺的开始阶段使用具有初始浓度的去离子水的剂型而容许从微电子拓扑上容易地去除蚀刻溶液和副产物,但在此之后减小微电子拓扑的漂洗溶液上的表面张力。减小微电子拓扑上的漂洗溶液的表面张力可有利地有助于防止装置结构44的特征塌缩。此外,相信添加到微电子拓扑40的去离子水的浓度随着漂洗工艺50进行而步进或者逐渐降低可有利地容许拓扑上的漂洗溶液基本均匀,且因此可避免去离子水和溶剂的清晰的液-液界面,如下文中更详细描述的,这也可有助于防止特征塌缩。
在一些实施例中,漂洗剂型(一种/多种)可特别地配置成在漂洗工艺50结束时浓度小于去离子水的初始浓度。例如,主要包括去离子水或者基本由去离子水构成的剂型可首先被添加到微电子拓扑。然后,可添加1∶1比率的乙醇∶水溶液,之后添加具有9∶1的乙醇对水比率的溶液。在备选实施例中,随着漂洗工艺进行,增加的量的乙醇可被添加到去离子水剂型流中,有效地逐渐降低漂洗剂型中的水的浓度(例如从95%水到5%水)。
注意到,添加到拓扑的溶剂的量及其剂型的量,以及上文提到的乙醇的相对量和引用仅仅被引用来用于示例性目的,且因此,本文所述的方法不应限于这种实例。此外,注意到,在一些实施例中,漂洗剂型中的/添加到微电子拓扑中的去离子水的相对量可能不会随着漂洗工艺进行而接连降低,且因而本文所述的方法不应受此限制。
无论如何,如图4所示,水成液的残留物52可在漂洗工艺50之后保持在包围装置结构44的半导体层42上。如上所述,由于水的表面张力,在一些实施例中,如果将微电子拓扑40暴露于干燥工艺(诸如使该拓扑旋转和/或处于或者接近大气压力时将该拓扑暴露于升高的温度),则残留物52会导致装置结构44塌缩。然而,本文所述的方法通过将微电子拓扑40暴露于过程步骤的交替序列而避免了这种损害作用,该交替序列在图1的框16-34中概述,并且在下文更详细的描述。进一步注意,在如上所述的漂洗工艺50结束时用具有小于去离子水的初始浓度(的浓度)的漂洗剂型漂洗微电子拓扑40可额外地有助于使用本文所述的方法避免特征塌缩。
虽然在图4中没有示出,但是在一些实施例中,有利的是将装置结构44浸入水成液内,以有助于防止特征塌缩。特别地,将装置结构44浸入水成液中可确保微电子拓扑40上的水成液的足够的深度,以防止拓扑在执行图1的框16-34中概述的过程步骤的序列之前干燥(例如通过水成液的蒸发或运动)。如果拓扑紧接在漂洗工艺50之后被转移到工艺室,则水成液的这种深度可部分有益。特别地,由于时间和对通风条件的暴露,微电子拓扑上的水成液的残留物可能会倾向于在转移工艺过程中蒸发。然而,注意到,水成液大体具有低挥发性,因而,并不非常关注在执行图1中的框16-34中的工艺序列之前的微电子拓扑干燥。此外,如以下所提到的,在一些情况下,本文所述的方法可能不包括在漂洗工艺50之后转移微电子拓扑40(即,漂洗工艺50和选择性蚀刻工艺48可在用于干燥拓扑的工艺室中执行)。因此,将装置结构44浸入水成液中并非必需,而是作为漂洗工艺50的可选方针而提出的。
在一些情况下,干燥微电子拓扑40可能不是助长特征塌缩的主要因素。特别地,假定装置结构的装置宽度和它们之间的间距持续减小,且它们的纵横比持续增加(例如,相当于大约20∶1或者更大的纵横比),面间张力可使得装置结构在拓扑干燥之前塌缩。更具体而言,假定在一些实施例中,装置结构44仅仅对水成液与环境空气之间的液-气界面暴露可增大特征塌缩的可能性。因此,在一些情况下,可能有利的是防止装置结构44暴露于环境空气,且因此在执行图1的框16-34中的工艺序列之前保持装置结构浸入水成液中。
如以上所提到的,在一些实施例中,在将非水成液化学剂型添加到微电子拓扑(即在框16中所标示以及在下文中更详细地描述的工艺)中之前,利用去离子水的溶液漂洗微电子拓扑40是特别有利。特别地,与用于框16中概述的工艺的非水成液化学剂型相比,去离子水大体是用于去除由于选择性蚀刻工艺48产生的残余蚀刻溶液和/或蚀刻副产物的更好的溶剂。然而,构想了可从本文所述的方法中省略漂洗工艺50。特别地,取决于制造工艺的规格要求,在蚀刻微电子拓扑40之后,该方法可改为直接继续到框14或16。在这种实施例中,如果随后采用传统的干燥工艺,装置结构44仍然易于经受塌缩,因此,作为备选方案,使用框18-34中概述的过程步骤可能是有利的。
如图1的框14中所示,本文所述的方法包括将微电子拓扑转移到构造为产生和经受大于大约1000psig的压力的工艺室。如以下相对于框20-34中概述的工艺更详细地描述的,这种工艺室可特别适于将微电子拓扑暴露于加压状态中的流体,使得液体可从拓扑的表面去除而不会引起特征塌缩。具有这种构造的示例性工艺室在图8中示出,且在下文更详细地进行了描述。如从图1中的框14延伸的虚线箭头所指示的,本文所述的方法不限于在框12中概述的漂洗工艺之后直接发生的转移工艺。特别地,微电子拓扑40可备选地在框10中概述的选择性蚀刻工艺之前被装载到工艺室。在这种情况下,工艺室可构造成以便执行选择性蚀刻工艺和漂洗工艺。在另外的其它实施例中,微电子拓扑40可在将一种或多种非水成液化学剂型添加到拓扑之后被转移到工艺室,该工艺在图1的框16中概述且在下文中更详细地描述。
然而,在一些情况下,可能有利的是在添加一种或多种非水成液化学剂型之前将拓扑转移到工艺室。如上文所阐述,一种或多种非水成液化学剂型配置成使得微电子拓扑40上的所得的液体剂型的表面张力小于去离子水,且更具体而言,小于水成液残留物52。为了实现这种目的,该一种或多种非水成液化学剂型配置成具有低的表面张力,且因而具有相对高的挥发性。由于非水成液化学剂型在转移工艺(由于转移工艺中所涉及的时间和对空气的暴露)期间蒸发的可能性,装置结构44可暴露,且在一些情况下,如果拓扑被非水成液化学剂型缠绕(laced),则微电子拓扑40可被干燥。结果,特征塌缩的可能性可能要高。相信即便是装置结构44没有完全浸入,因为水的较低的挥发性,转移与水成液(诸如参照图4中的残留物52所描述的)混合的拓扑也比较不容易受特征塌缩的影响。因此,可能有利的是在添加一种或多种非水成液化学剂型之前将微电子拓扑40转移到工艺室。
在一些实施例中,可能有利的是在水漂洗工艺50的中间阶段之间(在连续地添加不同的水成液剂型之间)将微电子拓扑40转移到工艺室(特别是如果该工艺在其后面的阶段中稀释漂洗溶液中的水浓度时)。水漂洗溶液中水的较低浓度可使得该漂洗溶液更易挥发,且因此,在这些情况下,在漂洗工艺的后面的阶段期间,装置结构44可能更易于经受特征塌缩。在另外的其它情况下,可能有利的是在选择性蚀刻工艺48之前将微电子拓扑40转移到工艺室。这样,可消除在转移工艺期间暴露拓扑的担忧。无论如何,要注意,图1的框14中概述的转移工艺在图2-7中的微电子拓扑40的视图中并未描绘,因为这些图用于显示拓扑的直接加工。
继续到图5和图1的框,将一种或多种非水成液化学剂型添加到微电子拓扑40。这种工艺54用来去除、乳化和/或溶解水残留物52,使得微电子拓扑40上的所得的液体剂型56的表面张力小于去离子水,且更具体而言,小于水成液残留物52。一种或多种非水成液化学剂型的添加可在处于或接近大气压力或者在工艺室所处的环境中的环境压力处执行。换言之,在对工艺室加压之前执行工艺54。在一些情况下,非水成液化学剂型工艺54可配置成(即,通过剂型的成分和/或工艺的持续时间)使得微电子拓扑40上的所得的液体剂型56的表面张力等于或小于大约30达因/厘米(dyne/cm)。特别地,发现在开发本文所述的方法和溶液期间,所得的液体剂型56的这种范围的表面张力可在框20-34(如以下更详细地描述)中概述的随后的干燥工艺之前和/或期间显著地降低特征塌缩的可能性。然而,这种结果并不一定对所有拓扑而言都是这种表面张力范围所独有的,且因而,可考虑大于大约30达因/厘米以及小于水的表面张力的表面张力。
在开发本文所述的方法和溶液期间发现的对于抑制特征塌缩有利的非水成液化学剂型工艺54的另一方面在于将该工艺配置成使得装置结构44浸入所得的液体剂型56,如图5所示。在装置结构44的上表面上方的所得的液体剂型56的量可大体在不同的应用之间有所变化。然而,在一些实施例中,可特别有利的装置结构44的上表面位于由所得的液体剂型56产生的液-气界面(即所得的液体剂型56的上表面)之下至少大约3mm,在一些情况下介于大约3mm与大约25mm之间,且更具体而言,介于大约5mm与大约12mm之间。特别地,在开发本文所述的方法和溶液期间,发现这种范围对于在随后的干燥工艺之前和/或期间防止特征塌缩可特别有利。然而,在一些情况下,可考虑较小的进入缓冲。
不限于理论,假设这种浸入范围可足以防止微电子拓扑40在排空工艺室以便将液体从室中冲出(以下参照图1的框26和28更详细地描述其工艺)之前干燥。特别地,相信随后的工艺-对工艺室加压(即图1的框20中概述的工艺)以及在工艺室内获得饱和蒸汽压力或者临界压力(即图1的框24中概述的工艺)之后将第二流体流引入工艺室-会非故意地致使液体剂型56被去除(例如,通过液体剂型56的蒸发和/或运动)。理论上,在一些情况下,这种过早干燥作用可使得装置结构44塌缩,且因而在装置结构44的上表面上方至少3mm处提供液体剂型56的缓冲可有利的。
在一些情况下,这种浸入范围可能不仅足以防止微电子拓扑在框20和24的工艺期间干燥,而且该浸入范围可足以防止装置结构在这种随后的加工期间被暴露。或者这样说,该浸入范围可足以在框20和24的工艺期间保持装置结构44浸入液体剂型56中(取决于这样的工艺的参数)。理论上,在一些实施例中,将装置结构44仅暴露于液体剂型56与工艺室的环境和/或另一液体之间的面间张力可使得装置结构44塌缩。因而,以充足的量对微电子拓扑40添加非水成液化学剂型而使得装置结构44在随后的工艺期间浸入可为有利的。此外或者备选地,该方法可包括用于在随后的加工期间保持液体缓冲剂位于装置结构44的上表面上方的配置,如以下参照图1的框22所述。
注意,对于本文所述的方法而言,将装置结构44浸入液体剂型56并不是必须的。特别地,该方法可备选地包括将一种或多种非水成液化学剂型添加到微电子拓扑40,使得液体剂型56处于低于装置结构44的上表面的液位(对于工艺54)。更具体而言,理论上,在参照图1的框26和28描述的排空工艺之前可干燥微电子拓扑40或者可暴露装置结构44的可能性,以及由此装置结构44可能经受特征塌缩的可能性,可取决于装置结构44的宽度和/或纵横比和/或它们之间的间隔的宽度和/或纵横比。特别地,在开发本文所述的方法和溶液时,特征塌缩并非在装置结构未浸入液体剂型56中的所有情况下都会发生,而是似乎对于较小的宽度和/或较大的纵横比更加普遍。并没有调查过需要装置结构浸入的特定的宽度和/或纵横比范围,但是注意到,基于本文提供的教导,这种尝试将不需要本领域技术人员进行过度的实验。因此,将装置结构44浸入液体剂型56并非必须,而是相反,其是作为针对工艺54的可选方针而提出的。
在工艺54期间添加到微电子拓扑40的一种或多种非水成液化学剂型包括干燥剂和溶剂。本文所用的术语″干燥剂″指代这样的化学物质:该化学物质具有亲水性,使得水可溶解或者乳化在溶液中。在一些实施例中,干燥剂和非水成液化学剂型溶剂可为相同的药剂。或者这样说,非水成液化学剂型可包括具有干燥剂的功能的溶剂。在这种非水成液化学剂型仅仅是工艺54中使用的非水成液化学剂型的实施例中,水优选易与干燥剂/溶剂混合,且干燥剂/溶剂优选可溶于用于随后对工艺室加压的流体(即在图1的框20中概述以及在下文中更详细地描述的工艺中使用的流体)。在备选的情况下,干燥剂和组成非水成液化学剂型的溶剂可不同(即,可为单独的成分)。无论如何,在用于随后对工艺室加压的流体中可以不存在一种或多种非水成液化学剂型。
在一些实施例中,干燥剂可为离子或者非离子表面活性剂,只要该表面活性剂对于水/溶剂混合物是两亲性的(即,表面活性剂可溶于非水成液化学剂型的溶剂中并且能够乳化微电子拓扑上的水)即可。因此,在一些情况下,非水成液化学剂型,以及在一些实施例中,所得的液体剂型56,可称为表面活性剂-溶剂混合物。在其它情况下,干燥剂可为酒精或其它类型的干燥剂。非水成液化学剂型的溶剂可包括分子量小于大约150原子质量单位(amu)的极性或者非极性有机溶剂或者溶剂混合物。示例性非极性溶剂包括己烷、戊烷、庚烷。示例性极性溶剂包括甲醇、乙醇、丙醇、异丙醇和丙酮。
干燥剂起作用来有效地减小晶片上的水成液的表面张力。干燥药剂可溶于溶剂,但是可溶于或者不可溶于用于随后对工艺室加压的流体(即图1的框20中概述的以及下文中更详细地描述的工艺中使用的流体)。在干燥剂不可溶于用来随后对工艺室加压的流体并且是在工艺54结束时使用的非水成液剂型的一部分的情况下,该方法可包括在对工艺室加压之前将纯的溶剂流添加到微电子拓扑,如图1的框18中所指示。这种工艺可用来在引入流体以对工艺室加压之前从微电子拓扑和室中基本去除干燥剂。注意,图1中的框18由虚线概述,表示这种工艺取决于制造工艺的参数。
类似于漂洗工艺50,在一些实施例中,工艺54可采用单独的非水成液化学剂型(即在工艺54期间不会使其成分改变的单独的非水成液化学剂型)。在备选实施例中,工艺54可采用接连地添加到微电子拓扑40的多种以及不同非水成液化学剂型。特别地,工艺54可包括将具有不同的浓度的一种或多种化学物的非水成液剂型顺序地添加到微电子拓扑40。例如,可在100%己烷之后添加3∶1比率的己烷与乙醇(或备选地,3∶1比率的乙醇与己烷)。在另外的其它情况下,工艺54可包括逐渐改变添加到微电子拓扑的非水成液化学剂型的成分(例如,通过改变溶液中的溶剂的浓度)。这样,微电子拓扑上的溶液就可以线性的方式而非分度的方式来改变。例如,具有100%乙醇的溶液可首先被添加到微电子拓扑,然后可随着该工艺进行将数量增加的己烷添加到乙醇流,事实上逐渐降低剂型内的乙醇的浓度。注意,溶剂的数量及其添加到拓扑的剂型的数量,以及以上提到的乙醇与己烷的相对量以及引用仅仅是为了示例性目的而引用的,因而,本文所述的方法不应限于这种实例。
如以上参照图1中的框14所提到的,在一些实施例中,微电子拓扑40可在水漂洗工艺50的中间阶段之前或者选择性蚀刻工艺48之前转移到工艺室。在这种情况下,在一些实施例中,工艺54可通过添加在水漂洗剂型中形成且用于漂洗工艺50的后面的阶段中的溶剂的剂型而开始。例如,在漂洗工艺50以添加包括乙醇(例如,具有大约5%水和大约95%乙醇)的水溶液结束的实施例中,工艺54可通过添加包括乙醇的非水剂型(例如100%乙醇溶液)开始。相信两种工艺的这种转变可有利容许微电子拓扑40上的溶液基本均匀,且因而,可避免液体的清晰的液-液界面,如下文更详细地描述的,这可有助于防止特征塌缩。
方法前进到框20,此处流体以气态被引入工艺室。该工艺包括利用该流体对该室加压,直到获得等于或大于流体的饱和蒸汽压力或者流体的临界压力的室压力。该流体可包括具有(或者具有实现其的能力)显著地低于表面张力(例如小于大约30达因/厘米)或者没有表面张力的任何流体。超临界流体和超临界状态附近的流体具有非常低的粘性,且因而有非常小或者没有表面张力。因此,用来对工艺室加压的流体可包括具有比较容易获得的热力学临界点(即,具有较低的临界温度和临界压力)的任何流体。示例性流体可包括但不限于二氧化碳和六氟化硫。
如以下参照图1的框32更详细地论述的,该方法包括在过程步骤序列的某点处在工艺室内提供受热环境,使得用来对室加压的流体处于或高于其临界温度,以至少用于图1的框34中概述的排空工艺。由于二氧化碳的31℃的较低临界温度,可有益的是使用该二氧化碳来对工艺室加压。特别地,降低加工温度、使得可减少加热机构(即,热交换器或者工艺室内部的加热器)可能是合乎需要的。对于需要该复杂性来产生和承受大于大约1000psig的压力的工艺室而言,如针对用于本文所述的方法的工艺室所论述的,这种考虑可特别有利。此外,与具有比较容易获得的热力学临界点的其它流体相比,二氧化碳比较便宜,且因而,仅因为该原因,在一些实施例中,可能就希望采用二氧化碳来对工艺室加压。
如以上所提到的,将流体引入工艺室,至少直到室内的流体达到饱和蒸汽压力或者临界压力。因此,取决于工艺室内的流体在加压步骤期间是低于还是高于其临界温度,流体可相应地呈现液态或者超临界状态。二氧化碳的这种现象在图9的压力-温度相图中描绘出。虽然工艺室内的压力可取决于工艺室内的二氧化碳的温度,但是,例如,可利用二氧化碳将工艺室加压到的压力的示例性范围可在大约800psig与大约4000psig之间。在一些实施例中,可利用二氧化碳将工艺室加压到的压力范围可在大约800psig与大约2900psig之间。在工艺室内的二氧化碳的温度高于其临界温度,且因而,在对室加压时获得了超临界状态的情况下,可利用二氧化碳将工艺室加压到的压力的示例性范围可在大约1100psig与大约4000psig之间,且更具体而言,在大约1500psig与大约2900psig之间。
如图1的框22中所示,框20中概述的加压工艺可包括这样的伴随步骤:保持装置结构44被浸入以确保在用流体对工艺室加压(框20中所参照)之前微电子拓扑40不会被干燥和/或装置结构44不会被暴露。如框22中进一步指出,这种工艺可包括将框16中所指出的保持装置结构44浸入在包括一种或多种非水成液化学剂型的至少一种成分的液体中。在一些实施例中,这种过程步骤可包括保持装置结构44浸入通过如图1的框16中所参照且在图5中示出的那样添加一种或多种非水成液化学物剂型而产生的液体剂型56中。特别地,工艺54产生的液体剂型56的深度可足以在加压工艺期间保持装置结构44浸入。在另外的其它实施例中,框22中所参照的工艺可包括将一种或多种非水成液化学剂型的一种或多种成分添加到微电子拓扑40,诸如但不限于在工艺54的后面的阶段中使用的、流率小于用来对工艺室加压的流体的流率的25%的溶剂。如果参照框16所产生的液体剂型56的深度不足以防止在加压工艺期间微电子拓扑40被干燥和/或装置结构44被暴露,则后一种现象可特别有用。
由于类似于参照框16所描述的那些的原因,在一些实施例中,可能有利的是保持装置结构44浸入在液体剂型56与工艺室中的加压气体之间的液-气界面下方至少大约3mm,且在一些情况下,大约3mm与大约25mm之间,且更具体而言,介于大约5mm与大约12mm之间。然而,在一些情况下,可考虑较小的浸入缓冲。在另外的其它实施例中,类似于对参照框16所描述的工艺的论述,在加压工艺期间保持装置结构44浸入对于本文所述的方法并不是必须的。特别地,对于加压工艺而言,该方法可备选地保持微电子拓扑上的液体处于装置结构44的上表面下方的液位处。
如以上以及图1的框20中所提到的,流体以气态被引入工艺室。这样,可避免流体与液体剂型56之间的显著的液-液界面。特别地,目的是在框20、24和26中概述的工艺期间将流体结合到液体剂型56中,使得液体剂型56可逐渐被稀释。流体的这种结合能够通过将气态的流体引入工艺室来实现。相反,添加液态的流体可在流体与液体剂型56之间形成显著的液-液界面,防止将流体结合到液体剂型56中,且因而,抑制液体剂型56的稀释。理论上,如果在流体与液体剂型56之间存在显著的液-液界面,装置结构44可更容易经受塌缩,因为该结构有可能在从拓扑冲出液体剂型56时暴露于液-液界面。另外,液-液界面可能是比较瞬态的,因此,装置结构44可能易于甚至在冲刷之前就暴露于液-液界面。相信液-液界面的面间张力可与液-气界面一样高,因而,同样可能会引起特征塌缩。
如以上所提到的,在一些实施例中,本文所述的方法包括将工艺室加压到等于流体的饱和蒸汽压力(即当工艺室的温度低于添加到其中的流体的临界温度时)的压力,因而,可在工艺室中产生液态的流体。然而,这种工艺不一定会在流体与液体剂型56之间产生显著的液-液界面。如以上所提到的,引入气态的流体起作用来在对工艺室加压的同时将流体结合到液体剂型56中。在获得饱和蒸汽压力之后,流体转换成液态,因而,将流体引入工艺室事实上有利于在获得饱和蒸汽压力之后使液态的流体与所得的液体剂型混合。虽然对工艺室加压的工艺(即,以气态将流体引入工艺室且最终将该流体转变成液态)可能足以防止液-液界面的形成,但是在一些情况下液体剂型56可特别地配置成以便最小化或者防止液-液界面的形成。特别地,在一些实施例中,液体剂型56可包括提高两种流体的分散力的材料,诸如表面活性剂。
如以上以及图1的框32中所提到的,该方法包括在图1的框14-30中概述的工艺的序列的某点处在工艺室内提供受热环境,使得用来对室加压的流体处于或高于图1的框34中概述的排空工艺的临界温度。这样,用来对工艺室加压的流体可呈现用于排空工艺的超临界状态。例如,当使用二氧化碳来对工艺室加压时,工艺室可被加热至大于或者等于大约31℃的温度,或者备选地,当使用六氟化硫来对工艺室加压时,被加热至大于或者45.5℃的温度。
如以上所提到的,构造成产生以及承受大于大约1000psig的压力的用于工艺室的加热机构可能是复杂的(特别是针对高温的要求而言)。此外,加热工艺室所需要的能量的量随着温度要求提高而指数倍地增加。因此,在一些实施例中,可能有利的是减小加热工艺室以便获得流体的超临界状态所处的温度。例如,当使用二氧化碳来对工艺室加压时,可能有利的是将对工艺室的加热限制于大约31℃与大约60℃之间的温度,且在一些实施例中,介于大约31℃与大约40℃之间。然而,可采用更高的温度。在一些情况下,可能有利的是将工艺室加热到流体的临界温度以上一度或者多度的温度范围,以确保可维持用于参照框34所述的排空工艺的流体的超临界状态。例如,当使用二氧化碳对工艺室加压时,可能有利的是将工艺室加热到大约35℃与大约40℃之间的温度范围。可考虑其它温度范围。
在一些实施例中,提供受热环境的工艺(即框32)可在对工艺室加压之后执行。换言之,对工艺室加压的工艺可在低于流体的临界温度的温度范围内执行。在这样的实施例中,当关于框20的工艺实现了工艺室内的饱和蒸汽压力时,该流体将转变成液态。用于以这样的方式利用二氧化碳对工艺室加压的一个示例性温度范围可包括在小于大约30℃(且更具体而言,在大约0℃与大约20℃之间)的温度处将二氧化碳引入工艺室。在工艺室内获得饱和蒸汽压力之后,可将工艺室的温度提高到等于或高于流体的临界温度的温度。在获得临界温度的点处,流体将转变成超临界状态。等于或高于流体的热力学临界点的超临界状态(以及因而温度和压力)优选维持到直至至少执行以下参照框34中所述的排空工艺。
在备选的情况下,提供受热环境的工艺(即框32)可在对工艺室加压以及将第二流体流引入工艺室(即步骤20、24和26)的同时执行。在其它实施例中,提供受热环境的工艺可另外在将一种或多种非水成液化学剂型添加到微电子拓扑(即,框16)之前或同时和/或在将微电子拓扑转移工艺室(即,框14)中之前或者同时执行。在另外的其它情况下,用来对工艺室加压的非水成液和/或流体可在进入工艺室之前被受热。无论如何,在此之后,临界温度可维持到至少直至执行以下参照框34描述的排空工艺。这样,当获得工艺室内的流体的临界压力时,流体转变成并保持处于超临界状态。
提供受热环境使得流体在对工艺室加压之前、期间以及之后处于或高于其临界温度的一个优点在于,与在对工艺室加压之后提供受热环境相比,这样做在时间方面可能更加高效。如将参照图8中所示的工艺室在下文中更详细地阐述的,由于工艺室必须产生和承受较高的压力,工艺室可具有非常厚的壁。提高这种工艺室内的温度可花费相当大量的时间(例如,大约30到60分钟),这可显著地延迟制造工艺,且因而,对于产品良率而言是不合需要的。然而,在加压工艺期间使流体处于或高于其临界温度的一个缺点是流体在其超临界状态下比在其液态下较少压缩,且因而,可较不容易与液体剂型56混合。为了减轻这种问题,可将干燥剂添加到工艺室,以便减小液体剂型56的面间张力,从而允许超临界流体与液体剂型混合。干燥剂可包括表面活性剂、酒精,或者任何其它类型的干燥剂。此外,可在加压工艺(在框20中参照)期间,在添加纯的溶剂流(在框18中参照)期间和/或在添加一种或多种非水成液化学剂型(在框16中参照)期间添加干燥剂。
不管何时工艺室内的流体被加热到其临界温度,在一些实施例中,该方法都可在实现室压力处于或大于饱和蒸汽压力或者流体的临界压力之后继续到框24。特别地,可将第二流体流引入工艺室。在一些实施例中,第二流体流可为纯的流体流(即,没有如针对框20的各实施例描述的补充溶剂或者表面活性剂)。这样,工艺室可由在加压工艺期间添加的任何补充化学物冲刷。在加压工艺期间不添加补充化学物的实施例中,可在一些情况下省略框24中概述的工艺,且可继续引入用来对工艺室加压的第一流体流。在其中第二流体流不是纯的流体的实施例中,可在排空工艺期间使用纯的第三流体流,以便确保去除所有的加工附属物。
继续至框26,以与引入第二流体流大约相同的速率排空工艺室,使得工艺室内的压力保持处于或高于饱和蒸汽压力或者流体的临界压力。该工艺可容易地被称为″通流工艺″,并且如由框28中提到的,包括从工艺室冲刷液体剂型56。特别地,图6所示的排空工艺58包括用流体57替换液体剂型56,流体57是用来取决于工艺室内的温度以液态或者超临界状态对工艺室加压的流体。在足以基本去除液体剂型56的一段时间之后,停止引入第二流体流,如图1的框30中所示。在一些实施例中,排空工艺也可停止。停止框26的排空工艺可特别是适用于但是不限于当工艺室内的流体未处于其临界温度时的实例。这样,可赋予工艺室时间来提高流体的温度,以便对于框34中概述的随后的排空工艺呈现超临界状态。在其中流体在框30处处于其临界温度的备选实施例中,排空工艺可继续并且为框34中概述的排空工艺的一部分。
在框34中概述以及在图7中描绘为工艺59的排空工艺与排空工艺58的不同之处在于,排空工艺59用来将超临界流体转变成气态或者在通流工艺中从工艺室冲刷超临界流体。在任一种情况下,排空工艺59都以足以在防止工艺室中形成剂型的方式来执行。例如,在第一种情况下,排空工艺59可通过以允许流体从超临界状态直接转变成气态而不形成液相的速率对工艺室进行排空来执行。特别地,可控制排空速率,以避免导致形成与微电子拓扑40接触的液滴的膨胀冷却。然而,在一些情况下,诸如当二氧化碳是超临界流体时,转变工艺可能耗时。特别地,超临界二氧化碳具有高的Joule-Thompson膨胀系数,这就意味着在流体以气相膨胀时会消耗大量的热量。如果需要快速的排空工艺(例如小于大约1分钟),这可能会有问题,因为与膨胀相关的冷却会导致液体二氧化碳形成,取决于工艺室中的压力,其被蒸发成气体或者转变回到超临界相。在任一种情况下,相变可导致微电子拓扑的脆弱特征上的损坏。
实现框34的加速的排空工艺的一种方法是使用不同超临界流体来转移工艺室内的超临界流体。在授予DeYoung等的美国专利No.6,602,351以及授予DeYoung等人的美国专利No.6,905,555中提供了这种工艺的详细描述,它们通过引用以类似于在本文中完全阐述的方式结合进来。与工艺室内的流体相比,不同超临界流体优选具有较低的密度、临界温度以及Joule-Thompson膨胀系数。结果,可以更快的速率减小工艺室的压力而不会损坏微电子拓扑上的特征。此外,这种技术不会导致液体形成于工艺室内,且因而避免了特征塌缩的担忧。可用于加速的排空工艺的示例性超临界流体包括但不限于氦、氩、氮、氧以及它们的混合物。
在任何情况下,在工艺室内的压力已被降低至大气压力或者工艺室所处的环境的环境压力之后,微电子拓扑可被干燥而不受损坏。可在工艺室或者不同工艺室确保对微电子拓扑的进一步加工。
如以上所提到的,图8示出了用于执行本文所述的方法的过程步骤的一个或多个的示例性工艺室。如图8所示,工艺室60包括构造成支承微电子拓扑的衬底支架62,并且进一步包括构造成包括衬底支架62的容器66。此外,工艺室60包括一个或多个流体管线(一个或多个)68,以便将湿化学品供应给容器。湿化学品可包括参照图1的框10-20中概述的工艺论述的任何液体化学方法,包括但不限于用于选择性地蚀刻包围微电子拓扑的装置结构的牺牲层的湿式蚀刻化学品、用于漂洗经蚀刻的拓扑的去离子水、用于在暴露于加压环境之前添加到拓扑的一种或多种水成液剂型以及一种或多种非水成液化学剂型和/或补充化学制剂,诸如在框16-20中所参照的任何工艺期间添加到拓扑的溶剂或者表面活性剂。如图8所示,工艺室60构造成使得布置在衬底支架62上的微电子拓扑可浸入供应给容器66的湿化学品内。这样,包括微电子拓扑的上表面的装置结构可浸入。这种构造可包括容器66,容器66相对于衬底支架62特别地定位且具有足以提供具有包围衬底支架62和处于其上的微电子拓扑的足够深度的缸的侧壁。
如图8中进一步示出的,工艺室60包括围绕衬底支架62和容器66的可密封的区域70。区域70可被门74密封,在门74处,可从工艺室中装载以及卸载微电子拓扑。在一些实施例中,衬底支架62的轴64可如图8中的双箭头所指示的那样运动,以有助于加载和卸载程序。工艺室60进一步包括一个或多个流体管线(一个或多个)或者端口(一个或多个)76,以便将一种或多种气体供应到可密封的区域70。气体可包括参照图1的框10-34中概述的工艺所论述的任何流体,包括用于蚀刻包围微电子拓扑的装置结构的牺牲层以及对工艺室加压和排空工艺室的气体。工艺室构造成以便在可密封的区域70内产生和承受大于大约1000psig的压力。这样,工艺室构造成在可密封的区域70内将气态流体(诸如二氧化碳或者六氟化硫)转换到超临界状态。
侧壁72由能够承受这种压力的材料(诸如金属)构成。为了确保侧壁72的内表面不会被供应给容器66的一些湿化学品的可能的腐蚀性特性劣化,在一些情况下,工艺室60构造成以便使供应给容器的湿化学品与可密封的区域的侧壁表面隔离。这种构造可包括具有容纳衬底支架62和处于其上的微电子拓扑周围的湿化学品的足够的深度的容器66。此外,流体管线(一个或多个)68可构造成延伸到容器66的范围。另外,在一些实施例中,容器66可构造有可分离的盖。在这样的情况下,可分离的盖可置于容器上,以用于湿法加工微电子拓扑,以及被去除以暴露于可密封的区域70内的流体。无论如何,工艺室60可进一步包括用于加热可密封的区域70的一个或多个加热机构。该一个或多个加热机构可为本领域技术人员已知的任何形式,包括但不限于如图8所示沿着侧壁72的内侧布置的加热线圈78。在一些实施例中,可经由通过流体管线68和76引入的流体另外或者备选地为工艺室60提供热。特别地,供应这种流体的流体存储器和/或流体管线可设有加热机构。
如以上所提到的,图8中描绘的工艺室60的构件不一定按比例绘制,且室和构成该室的构件可包括不同构造。此外,工艺室60可包括图8中未示出的构件。这种辅助构件在图中未示出,仅仅是为了可强调所示出和描述的构件。
到目前为止讨论的各实施例都假设微电子拓扑40具有在蚀刻工艺之后作为漂洗工艺的副产物而残留在其上的水成液。然而,在微电子拓扑40可如上所述漂洗的其它实施例中,漂洗工艺可包括从微电子拓扑去除水成液但是留下其它漂洗液(即用来去除水成液的漂洗液)的步骤。在选择性蚀刻工艺之后使用水成液漂洗,以及在此之后去除水成液,可在将微电子拓扑置入工艺室中之前执行,或者从微电子拓扑完全去除水成液的漂洗可在工艺室内实现。在一种实践情况下,可漂洗微电子拓扑,使得从微电子拓扑上去除所有水,仅在微电子拓扑上留下无水的漂洗流体(例如异丙醇、乙烯乙二醇、乙醇)。虽然可去除用于在选择性蚀刻步骤之后进行漂洗的所有水成液,但是漂洗液本身需要以保护免受由于漂洗液(诸如毛细效果以及液-液界面)引起的特征塌缩影响的方式被去除或者″干燥″。
以上关于添加非水成液(框14)、对室加压(框20-24)、冲刷非水成液(框26-30)以及排空工艺室34(框34)所论述的各种方法可用来从微电子拓扑40去除漂洗液。除非另有说明,在作为漂洗工艺的一部分完全去除水成液残留物且仅有无水的漂洗液残留在微电子拓扑上的情况下,非水成液化学剂型用来去除、乳化和/或溶解漂洗液。因而,残留的漂洗液将易混合于非水成液化学剂型中,或者该残留的漂洗液可与非水成液化学剂型相同。
再次参照图8。到目前为止所论述的各实施例假设应用于微电子拓扑的湿化学品局限于容器66。例如,当供应非水成液以便浸入微电子拓扑以及溶解和/或乳化水成液时,该浸入是通过将该非水成液供应至容器66(来完成的)。然而,在其它实施例中,供应湿化学品不限于仅供应至容器66。特别地,在其它实施例中,添加非水成液化学剂型包括将非水成液化学剂型提供至工艺室,直至工艺室的容积的至少90%包括非水成液化学剂型且微电子拓扑被浸入。在一些情况下,工艺室的容积的至少95%包括非水成液,而在其它情况下,工艺室装满非水成液。另外,在一个特定实施例中,非水成液被提供至工艺室,而该工艺室内的压力基本为大气压力,但是其它更高或者更低的压力也可等同地使用。在一些情况下,非水成液在进入工艺室之前被受热。
关于备选实施例中使用的非水成液化学剂型的量(容积的90%及以上)的一些观点有指导意义。首先,注意,将非水成液化学剂型提供至工艺室直至容积的至少90%包括该非水成液化学剂型或者装填加工室,是与直觉相背的步骤。特别地,非水成液化学剂型与加压流体的混合物的表面张力在非水成液化学剂型浓度较高时较高,且在非水成液浓度较低时较低。由于整个目标是用具有低表面和/或低面间张力的流体去除水成液(或其它漂洗流体),所以倾向于使用尽可能少的非水成液化学剂型,以及尽可能多的加压流体。换言之,当在工艺室中产生非水成液化学剂型与加压流体的混合物时,倾向于使用非常少的非水成液化学剂型,使得该混合物具有表面和/或面间张力。
然而,本说明书的发明人发现,通过在加压(框20和24)中使用较高浓度的非水成液化学剂型以及较低浓度的加压流体,非水成液化学剂型(以及被吸收的水成液)加压流体形成均匀混合物所需要的时间量大大减小。例如,乙醇作为装填工艺室的非水成液化学剂型而二氧化碳作为加压流体的在有指导意义的情况下,在加压期间达到临界压力之后乙醇和二氧化碳形成均匀混合物所需要的时间量为30秒或者更少。在一些情况下,二氧化碳和乙醇与二氧化碳进入工艺室同时来形成均匀混合物,使得框28的冲刷可在有很少或者没有停止或者滞留时间的情况下开始。相反,使用较少量的乙醇(例如工艺室的容积的25%)的方法和系统可能需要两到三分钟或者更多来使二氧化碳和乙醇形成均匀混合物。允许混合物在进一步加工之前变均匀会减小微电子拓扑暴露于面间张力的可能性。
第二,通过使用更大容积的非水成液化学剂型,可从微电子拓扑去除更大容积的水成液。特别地,且在所描述的非水成液化学剂型为乙醇而加压流体为二氧化碳的情况下,因为水在许多超临界流体(例如致密二氧化碳)中具有非常低的可溶性,可使用极性助溶剂(例如乙醇)来大大增强水在致密二氧化碳混合物中的可溶性。保持均匀相的性状的更高的水可溶性会减小或者消除多相流体系统中的面间张力。对水、乙醇和二氧化碳的三重相图的评价表示了水相对于二氧化碳的量的增长表示有更大量的乙醇,以避免不均匀的多相系统。
去除更大容积的水成液的能力转变成至少两种可行的加工循环时间减少。第一循环时间减少是在执行图1的方法之前可能需要更少的加工来减少水的量。例如,在一些实施例中,微电子拓扑上的水的量可通过以接连地(在一些情况下线性地)变化的去离子水和溶剂(该溶剂可溶于压缩流体)的浓度进行漂洗而被降低,其以较高浓度的去离子水开始,并且以较低浓度的去离子水结束。因为非水成液化学剂型容积提高了吸收更多水的能力,微电子拓扑的漂洗可单独用去离子水进行,或者至少以较高浓度的去离子水结束。相关地,去除更多水成液的能力意味着以上的有指导意义的方法可用作相应的多个单独的且不同的衬底上的多个微电子拓扑的批处理工艺。
受益于本公开的本领域技术人员将了解,相信本发明提供了用于防止微电子拓扑内的特征塌缩的方法和系统。参照本发明,对本发明的各方面的另外的修改和备选实施例将显而易见。例如,虽然本文所述的许多实例引用了二氧化碳作为用于对工艺室加压的流体并且采用了超临界状态,但本文所述的方法和系统不限于此。因此,本说明书应仅被理解为是指导性的,并且是为了教导本领域技术人员执行本发明的一般方式。将理解,本文所示和所述的本发明的形式将被视为目前优选的实施例。可代替本文所示和所述的元件和材料,可反转各部分和工艺,且本发明的某些特征可单独地使用(如对于受益于本发明的该说明书的本领域技术人员而言将显而易见)。可在本文所述的要素中作出改变而不会脱离所附的权利要求书中所述的本发明的精神和范围。

Claims (11)

1.一种加工微电子拓扑的方法,包括:
将微电子拓扑布置在工艺室内,在所述工艺室中,所述微电子拓扑在该拓扑的表面上具有第一漂洗液;以及然后
为所述工艺室提供第二漂洗液,直至浸没所述微电子拓扑;以及然后
通过不同于所述第二漂洗液的气体状态的用于加压的流体对所述工艺室加压,所述加压直至所述工艺室内的压力超过预定压力;以及然后
在将所述用于加压的流体提供至所述工艺室的同时排空所述工艺室,所述排空及同时提供用于加压的流体继续进行直至所述工艺室内的所述用于加压的流体的浓度大于预定阈值,且排空以及同时将用于加压的流体提供至所述工艺室使得所述工艺室中的压力停留在大于预定压力;以及
在所述工艺室中提供受热环境,使得工艺室中的所述用于加压的流体在至少从该微电子拓扑排空该第一漂洗液和第二漂洗液之后处于等于或高于该用于加压的流体的临界温度的温度;以及
以防止在所述工艺室中形成液体的方式排空所述工艺室,
其中提供所述第二漂洗液进一步包括浸没所述微电子拓扑,使得所述微电子拓扑的上表面在液-气界面之下至少3毫米;
其中所述预定压力是:
该流体的饱和蒸汽压值,当所述流体的温度低于该流体的临界温度时;以及
该流体的临界压力值,当所述流体的温度大于该流体的临界温度时。
2.根据权利要求1所述的方法,其中所述微电子拓扑的表面上的第一漂洗液进一步包括水成液。
3.根据权利要求1所述的方法,其中所述第二漂洗液是非水成液化学剂。
4.根据权利要求3所述的方法,其中所述非水成液化学剂进一步包括选自由乙醇、甲醇、丙醇、异丙醇、丙酮、己烷、戊烷和庚烷组成的组的至少一种。
5.根据权利要求3所述的方法,进一步包括在提供非水成液化学剂的同时逐渐改变所述非水成液化学剂的成分。
6.根据权利要求1所述的方法,其中提供所述第二漂洗液进一步包括提供按质量计包含25%或者更少的水的溶剂。
7.根据权利要求6所述的方法,其中提供所述溶剂进一步包括提供选自由乙醇、甲醇、丙醇、异丙醇、丙酮、己烷、戊烷和庚烷组成的组的至少一种。
8.根据权利要求1所述的方法,其中提供所述第二漂洗液进一步包括将第二漂洗液提供至所述工艺室,直到所述工艺室的容积的至少90%包含所述第二漂洗液。
9.根据权利要求8所述的方法,其中提供所述第二漂洗液进一步包括用所述第二漂洗液装填所述工艺室。
10.根据权利要求1所述的方法,其中对所述工艺室加压进一步包括通过用于加压的流体压缩所述工艺室,直至所述工艺室达到等于或大于所述用于加压的流体的临界压力的压力。
11.根据权利要求1所述的方法,其中加压进一步包括用选自由二氧化碳和六氟化硫组成的组的至少一种的用于加压的流体来加压。
CN200980136973.5A 2008-09-24 2009-09-18 用于在微电子拓扑制造期间防止特征塌缩的方法和系统 Expired - Fee Related CN102160149B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/237,070 2008-09-24
US12/237,070 US8153533B2 (en) 2008-09-24 2008-09-24 Methods and systems for preventing feature collapse during microelectronic topography fabrication
PCT/US2009/057490 WO2010036575A2 (en) 2008-09-24 2009-09-18 Methods and systems for preventing feature collapse during microelectronic topography fabrication

Publications (2)

Publication Number Publication Date
CN102160149A CN102160149A (zh) 2011-08-17
CN102160149B true CN102160149B (zh) 2014-09-17

Family

ID=42036562

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980136973.5A Expired - Fee Related CN102160149B (zh) 2008-09-24 2009-09-18 用于在微电子拓扑制造期间防止特征塌缩的方法和系统

Country Status (6)

Country Link
US (1) US8153533B2 (zh)
JP (1) JP5680539B2 (zh)
KR (1) KR101663843B1 (zh)
CN (1) CN102160149B (zh)
TW (1) TWI496209B (zh)
WO (1) WO2010036575A2 (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
JP5471740B2 (ja) * 2010-04-08 2014-04-16 東京エレクトロン株式会社 基板処理装置
JP5647845B2 (ja) * 2010-09-29 2015-01-07 株式会社Screenホールディングス 基板乾燥装置及び基板乾燥方法
JP6006923B2 (ja) * 2010-09-30 2016-10-12 Kisco株式会社 極微細構造体の乾燥処理装置および乾燥処理方法
JP5450494B2 (ja) * 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP6085423B2 (ja) * 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
JP6085424B2 (ja) * 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP5859888B2 (ja) * 2012-03-26 2016-02-16 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP6353447B2 (ja) * 2012-08-17 2018-07-04 マトリックス インダストリーズ,インコーポレイテッド 熱電デバイスを形成するためのシステム及び方法
TWI689004B (zh) * 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP6400919B2 (ja) * 2013-03-07 2018-10-03 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
JP6199155B2 (ja) * 2013-10-30 2017-09-20 株式会社Screenホールディングス 犠牲膜除去方法および基板処理装置
WO2016007874A1 (en) 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
JP6521799B2 (ja) 2015-08-31 2019-05-29 東京エレクトロン株式会社 ハロゲン除去方法および半導体装置の製造方法
KR102054605B1 (ko) 2015-10-04 2019-12-10 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피처들을 위한 건조 프로세스
KR102314667B1 (ko) * 2015-10-04 2021-10-20 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
WO2017062136A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Reduced volume processing chamber
WO2017062141A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Substrate support and baffle apparatus
US10446416B2 (en) * 2016-08-09 2019-10-15 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP7038524B2 (ja) 2017-11-14 2022-03-18 東京エレクトロン株式会社 基板処理装置の洗浄装置および洗浄方法
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
JP2019096767A (ja) * 2017-11-24 2019-06-20 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102219883B1 (ko) 2019-07-15 2021-02-25 세메스 주식회사 기판 처리 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
CN1571122A (zh) * 2003-02-19 2005-01-26 索尼株式会社 清洗方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3829541A1 (de) * 1987-09-03 1989-03-16 Ricoh Kk Blattfoermige elektrode, verfahren zur herstellung derselben und diese enthaltende sekundaerbatterie
KR100253086B1 (ko) * 1997-07-25 2000-04-15 윤종용 반도체장치제조를위한세정용조성물및이를이용한반도체장치의제조방법
US5962743A (en) * 1998-11-12 1999-10-05 Catalytica Pharmaceuticals, Inc. Process for preparing acylaromatic compounds
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6306754B1 (en) * 1999-06-29 2001-10-23 Micron Technology, Inc. Method for forming wiring with extremely low parasitic capacitance
US6602349B2 (en) 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
JP3553838B2 (ja) * 1999-12-06 2004-08-11 日本電信電話株式会社 超臨界乾燥方法
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
JP4020356B2 (ja) * 2000-06-26 2007-12-12 日機装株式会社 フライアッシュ中の未燃カーボン分離装置、及び分離方法
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
AU2002211546A1 (en) * 2000-10-13 2002-04-22 Micell Technologies, Inc. Device and process for dry-cleaning process using carbon dioxide and a divided pressure vessel
US6613157B2 (en) * 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6602351B2 (en) * 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6596093B2 (en) * 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6641678B2 (en) * 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6763840B2 (en) * 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
JP4042412B2 (ja) * 2002-01-11 2008-02-06 ソニー株式会社 洗浄及び乾燥方法
WO2003064065A1 (en) * 2002-01-25 2003-08-07 Supercritical Systems Inc. Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6953041B2 (en) * 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
US7011716B2 (en) * 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6624127B1 (en) * 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
US6735978B1 (en) * 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7119052B2 (en) * 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
JP2005081302A (ja) * 2003-09-10 2005-03-31 Japan Organo Co Ltd 超臨界流体による電子部品部材類の洗浄方法及び洗浄装置
JP4247087B2 (ja) * 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
US20050118832A1 (en) * 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7141496B2 (en) * 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
JP2008537343A (ja) 2005-04-15 2008-09-11 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
WO2006138505A1 (en) 2005-06-16 2006-12-28 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers
US20070095367A1 (en) * 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8084367B2 (en) * 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
JP4767767B2 (ja) * 2006-06-19 2011-09-07 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
CN1571122A (zh) * 2003-02-19 2005-01-26 索尼株式会社 清洗方法

Also Published As

Publication number Publication date
WO2010036575A3 (en) 2010-06-03
CN102160149A (zh) 2011-08-17
TW201021115A (en) 2010-06-01
KR101663843B1 (ko) 2016-10-07
JP2012503883A (ja) 2012-02-09
TWI496209B (zh) 2015-08-11
US20100072169A1 (en) 2010-03-25
US8153533B2 (en) 2012-04-10
JP5680539B2 (ja) 2015-03-04
KR20110063774A (ko) 2011-06-14
WO2010036575A2 (en) 2010-04-01

Similar Documents

Publication Publication Date Title
CN102160149B (zh) 用于在微电子拓扑制造期间防止特征塌缩的方法和系统
KR20100056537A (ko) 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
JP5607269B1 (ja) 基板処理方法及び装置
WO2004105093A2 (en) Tetra-organic ammonium fluoride and hf in supercritical fluid for photoresist and residue removal
WO2002017373A1 (en) Super critical drying of low k materials
US20100184301A1 (en) Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
US20210225637A1 (en) Freezing a sacrificial material in forming a semiconductor
US8961701B2 (en) Method and system of drying a microelectronic topography
Saga et al. Wafer cleaning using supercritical CO2 in semiconductor and nanoelectronic device fabrication
US7105447B2 (en) Etching method
KR101791701B1 (ko) 에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법
CN112885707B (zh) 存储器件的制造方法
JP5885794B2 (ja) 基板処理方法及び装置
Broussous et al. Contact cleaning opportunities on single wafer tool
US9536757B2 (en) Device manufacturing cleaning process using vaporized solvent
CN113889404A (zh) 半导体结构的处理方法及形成方法
CN113889405A (zh) 半导体结构的处理方法及形成方法
Hess et al. Dependence of Photoresist and Etch Residue Removal on CO2 Pressure in Alcohol-Based Gas-Expanded Liquids

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140917