CN101932754A - 单相流体印刻平板印刷方法 - Google Patents
单相流体印刻平板印刷方法 Download PDFInfo
- Publication number
- CN101932754A CN101932754A CN2009801044625A CN200980104462A CN101932754A CN 101932754 A CN101932754 A CN 101932754A CN 2009801044625 A CN2009801044625 A CN 2009801044625A CN 200980104462 A CN200980104462 A CN 200980104462A CN 101932754 A CN101932754 A CN 101932754A
- Authority
- CN
- China
- Prior art keywords
- gas
- atmosphere
- viscous liquid
- substrate
- fluid
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D19/00—Degasification of liquids
- B01D19/0005—Degasification of liquids with one or more auxiliary substances
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y40/00—Manufacture or treatment of nanostructures
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
Abstract
本发明涉及一种通过减少存在于设置在基片上的粘性液体层的气泡,减少在印刻层中的图象失真。为此,该方法包括改变靠近粘性液体的气体的输送。具体地说,使靠近将记录图案的基片的气氛被相对于粘性液体、基片、模板或它们的组合是高溶解度或高扩散度或既是高溶解度又是高扩散度的气体充满。此外,或取代使气氛被一定气体充满,可以减小气氛的压力。
Description
相关申请的交叉引用
本申请要求2008年2月5日提交的美国专利申请第12/026,022的优先权,其内容以参见的方式纳入本文。
技术领域
本发明通常涉及印刻平板印刷。更具体地说,本发明涉及用于控制在印刻材料上的物质流的系统。
背景技术
微型制造包括非常小结构的制造,例如具有在微米或更小量级上的零件的结构。微型制造已具有相当大的影响的一个领域是集成电路的处理。由于半导体加工工业持续为更大的生产产量而奋斗,同时在基片上形成的每单位面积上增加电路,因而微型制造变得越来越重要。微型制造提供更多的工艺控制,同时允许进一步减小所形成的结构的最小零件尺寸。微型制造已施加的其它发展领域包括生物工程、光学技术、机械系统等。
授予Willson等人的美国专利号6334960中示出了一种示例微型制造技术。Willson等人披露一种在结构中形成凹凸图象的方法。这种方法包括具有转印层的基片。转印层覆盖有可聚合流体组分。模具与该可聚合流体机械接触。模具包括凹凸结构,且该可聚合流体组分填充这种凹凸结构。然后,使可聚合流体组分在一定条件下固化并聚合,从而在转印层上形成固化聚合材料,使该转印层包含与模具的凹凸结构互补的凹凸结构。然后,使模具与固体聚合材料分开,从而在固化聚合材料中形成模具中的凹凸结构的复制品。使转印层和固化聚合材料经受一定环境,从而可选地相对于固化聚合材料蚀刻转印层,以在转印层中形成凹凸图象。通过此种技术提供的最小零件尺寸以及所需的时间,取决于(尤其是)可聚合材料的组分。
授予Chou的美国专利号5772905披露了用于在涂覆在基片的薄膜中产生极精细(低于25纳米)图象的平板印刷方法和装置,其中,具有至少一个突起特征的模具压到承载于基片上的薄膜中。模具中的突起特征使薄膜产生凹槽。将模具从薄膜中移除。然后加工薄膜,从而去除在凹槽中的薄膜并露出下置的基片。因此,模具中的图象替换到薄膜中,从而完成这种平板印刷。在薄膜中的图象将在随后的处理中,在基片中或在附加在基片上的其它材料中复制。
Chou等人在2002年6月,自然(Nature),卷417,页数835-837的“在硅中超速并直接印刻纳米结构”中(称为激光辅助直接印刻(LADI)工艺。在此种工艺中,通过利用激光加热基片区域,使基片区域变成可流动的,例如液化的。在基片区域达到所希望的粘度之后,将其上具有图案的模具放置成与该区域接触。可流动区域与图案的轮廓配合,然后冷却并将图案固化到基片中。与这种技术有关的问题包括图案变形,这种图案变形可归因于在可流动区域存在气体。
发明内容
因此,希望提供一种系统以减小使用印刻平板印刷技术形成的图案中的变形。
附图说明
图1是根据本发明平板印刷系统的立体图;
图2是图1所示平板印刷系统的简化视图;
图3是在聚合和交联之前构成图2中所示的印刻层的材料的简化视图;
图4是图3中的材料经受辐射后转变成的交联聚合材料的简化视图;
图5是在印刻层形成图案之后,模具与图1中所示的印刻层隔开的简化视图;
图6是在第一印刻层中的图案转移到附加印刻层中之后,附加印刻层定位在图5中所示的基片顶部的简化视图;
图7是图1所示压印头的详细立体图;
图8是根据本发明的夹具系统的剖视图;
图9是图7中所示的压印头的详细剖视图;以及
图10是图9所示压印头的仰视放大立体图;
具体实施方式
图1示出根据本发明的一个实施例的平板印刷系统10,该平板印刷系统包括一对隔开的桥支承12,这对桥支承具有在其间延伸的桥14和平台支承16。桥14和平台支承16隔开。压印头18联接于桥14,该压印头从桥14朝平台支承16延伸并且提供沿Z轴线的运动。运动平台20设置在平台支承16上以面向压印头18。运动平台20构造成相对于平台支承16沿X轴线和Y轴线运动。应理解的是,压印头18可提供沿X轴线和Y轴线以及Z轴线的运动,且运动平台20可以提供沿Z轴线以及X轴线和Y轴线的运动。转让给本发明受让人的美国专利号6900881的题为“阶段并重复印刻的平板印刷系统”(“Step and Repeat Imprint Lithography Systems”)中披露了一种示例性的运动平台装置,在此以参见的方式引入全文。辐射源22联接于平板印刷系统10以将光化辐射照射到运动平台20上。如图所示,辐射源22联接于桥14并包括连接于辐射源22的功率发生器23。平板印刷系统10的操作通常受处理器25所控制,该处理器与平板印刷系统数据连通。
参照图1和2,模板26连接于压印头18,该模板在其上具有模具28。模具28包括由多个隔开的凹槽28a和突起28b所限定的多个特征结构。这些多个特征结构限定将传递到布置在运动平台20上的基片30中的初始图案。为此,压印头18和/或运动平台20可改变模具28和基片30之间的距离“d”。这样,模具28上的特征结构可印刻到基片30的可流动区域中,这将在下文更充分描述。将辐射源22定位成使模具28布置在辐射源22和基片30之间。为此,模具28由允许其基本能透过由辐射源22所产生的辐射的材料制成。
同时参照图2和3,诸如印刻层34的可流动区域设置在表面32的一部分上,该表面32呈现基本平坦的外形。可流动区域可以通过示意任何已知的技术而形成,例如在美国专利号5772905中披露的热压花工艺,在此以参见的方式引入全文,或者由Chou在2002年6月,自然(Nature),卷417,页数835-837的“在硅中超速并直接印刻纳米结构”中描述的激光辅助直接印刻(LADI)工艺类型。然而,在本实施例中,可流动区域包括被沉积成多个在基片30上的、由材料36a制成的、隔开的离散小滴36的印刻层34,这将在下文充分描述。转让给本发明受让人的美国专利号6,926,929的题为“用于分配液体的系统和方法”(“System and Method for Dispensing Liquids”)中披露了一种用于沉积小滴36的示例性系统,在此以参见的方式引入全文。印刻层34由材料36a所形成,该材料可选地是聚合的和交联的以记录限定已记录图案的其初始图案。在美国专利号7157036的、题为“减少整合区域和模具图案之间的粘着力的方法”(“Method to Reduce Adhesion Between a Conformable Region and aPattern of a Mold”)中披露了材料36a的示例性组分,在此以参见的方式引用全文。在图4中示出的材料36a在点36b处交联,形成交联聚合材料36c。
参照图2、3和5,记录在印刻层34中的图案部分地通过与模具28机械接触而产生。为此,减小距离“d”以使小滴36与模具28机械接触,使小滴36扩展,从而在表面32上方形成具有连续成形材料36a的印刻层34。在一个实施例中,减小距离“d”以使印刻层34的子部分34a进入并填充凹槽28a。
为便于填充凹槽28a,材料36a具有必须的性能以完全填充凹槽28a,同时使表面32覆盖有连续成形材料36a。在本实施例中,在已达到所希望的、通常最小的距离“d”之后,印刻层34的子部分34b保持与突起28b重叠,使子部分34a具有厚度t1且子部分34b具有厚度t2。取决于应用场合,厚度“t1”和“t2”可以是任何所希望的厚度。通常(在图5中更清楚的示出),t1选定为不大于子部分34a的宽度u的两倍,即t1≤2u。
参照图2、3和4,在已达到所希望的距离“d”之后,辐射源22产生光化辐射,从而使聚合并交联材料36a,形成交联聚合材料36c。因此,印刻层34的组分从材料36a转变成固体的交联聚合材料36c。具体地说,使交联聚合材料36c固化,以为印刻层34的侧部34c提供与模具28的表面28c形状符合的形状,这在图5中更清楚地示出。在印刻层34转变成包括交联聚合材料36c之后(在图4中示出),使压印头18(在图2中示出)运动以增加距离“d”,从而使模具28和印刻层34隔开。
参照图5,可以施加附加工艺以完成基片30的图案形成。例如,可以蚀刻基片30和印刻层34以将印刻层34的图案传递到基片30,从而提供图案表面32a(在图6中所示)。为便于蚀刻,形成印刻层34的材料可以变化以限定相对于基片30的、所希望的相对蚀刻速率。印刻层34相对于基片30的相对蚀刻速率可能在大约1.5∶1到大约100∶1的范围中。
或者或除此以外,印刻层34可具有相对于可选地设置在其上的光致抗蚀刻材料(未示出)的蚀刻差。提供光致抗蚀刻材料(未示出)可以使用已知的技术使印刻层34进一步形成图案。根据所希望的蚀刻速度以及形成基片30和印刻层34的基础成分,可以使用任何蚀刻工艺。示例性的蚀刻工艺可以包括等离子蚀刻、反应离子蚀刻、化学湿法蚀刻等。
参照图7和8,在其上呈现有模具28的模板26通过夹具系统40联接于压印头罩壳18a,夹具系统40包括夹具本体42。夹具本体42适合于使用真空技术保持在其上附连有模具28的模板26。为此,夹具本体42包括一个或多个凹槽42a,这些凹槽与诸如流体供给系统70的压力控制系统流体连通。流体供给系统70可以包括一个或多个泵以提供正压和负压以及流体供给,以便于减少或防止在印刻层34中(在图5中所示)诸如空气的气体的捕获。转让给本发明受让人的美国专利号10/293224的题为“用于调整基片的形状的夹具系统”(“Chucking System For Modulating Shapes of Substrates”)中披露了一种示例性的夹具系统,在此以参见的方式引入全文。
如上文所述,在印刻过程中,在将图案印刻材料36a(在图3中所示)设在基片30的区域77之前,使模板26和模具28靠近基片30。具体地说,将模板26带到基片30的微米范围内,例如15微米左右的范围。已经发现,希望对靠近模板26和区域77的气氛78执行局部控制。例如,为避免存在于印刻层36a(在图3中所示)中气体的有害影响和/或随后捕获在图案印刻层34(在图2中所示)中气体的有害影响,已经发现,控制气氛78中的流体浓度和/或气氛78的压力是有益的。
参照图9,为便于控制气氛78,夹具本体42设计成使流体通路便于靠近模具28和压印头18,压印头包括围绕模板26的挡板100。具体地说,挡板100从压印头18开始延伸,终止于最低点102,该最低点位于表面26a位于的平面中。这样,模具28延伸超过最低点102以便于与区域77接触。夹具本体42包括一个或多个直通通路,这些直通通路的两个直通通路如104和106所示。直通通路104和106的孔104a和106a分别设在夹具本体42的设于模板26和挡板100之间的表面中,该表面称为外围表面100a。直通通路104和106将孔104a和106a放置成与流体供给系统70(在图8中所示)流体连通。挡板100的作用是减缓流体离开孔104a和106a、远离模具28的运动。为此,挡板100包括第一相对表面和第二相对表面102a和102b。第一相对表面102a从最低点102、远离基片30且面向模板26延伸。第二相对表面102b从最低点102、远离基片30且背离模具28延伸。虽然没有必要,但示出:第一相对表面102a相对于第二相对表面102b倾斜。由于这些的构造,可以通过孔104a和106a引入或排出流体而控制气氛78。然而,从最低点102开始,第一相对表面102a和第二相对表面102b可彼此平行延伸。
参照图3、8和9,在一个实施例中,建立气氛78从而增加存在其中的气体到在区域77中的印刻材料36a、基片31、模板26、模具28或它们的组合的输送。术语“输送”定义成意味着气体用来增加通过印刻材料36a、基片31、模板26、模具28或它们的组合的传播的任何机构,即增加溶解度、增加扩散度等。为此,流体供给系统70可以包括印刻材料36a的供给。在与流体供给系统70数据连通的处理器25的控制下,可通过孔104a和106a引入印刻材料36a从而使气氛78被印刻材料36a充满。已经发现,这会减少或完全去除在印刻过程中捕获在印刻层34(在图5中所示)中的诸如空气的气体量。这是有利的,因为已经发现,在印刻层34(在图5中所示)中存在空气,会产生不希望的空隙。此外,已经发现,通过使气氛78被二氧化碳和/或氦充满,能明显减少或避免捕获在印刻层34(在图5中所示)中的空气量。应理解的是,可将印刻材料36a、二氧化碳和/或氦的混合物引入气氛78,以减少捕获在印刻层34(在图5中所示)中的空气量。
参照图2、9和10,引入流体会遇到一个难题:确保在小滴36与模具28接触之前,在流体流104b和106b中的分子分别离开孔104a和106a,并行进到定位于模具28和小滴36之间的气氛区域。气氛78的这个区域称为处理区域78a。如图所示,孔104a和106a围绕外围表面100a设置,该外围表面与处理区域78a隔开。假定模具28与区域77的间隔在微米的数量级上,则流体流104b和106b中的分子与模具28和区域77之间的空间的相对尺寸使前述分子难于进入处理区域78a。
参照图8和9,一个克服前述难题的方法是:使流体供给系统70处于处理器25的控制下。存储器(未示出)与处理器25数据连通。存储器(未示出)包括计算机可读介质,该计算机可读介质具有包含在其中的计算机可读程序。计算机可读程序包括使流体流104b和106b脉冲进入气氛78的指令,该气氛具有如前所述的、所希望的混合物。这样,可避免流体流104b和106b的层流。相信通过为流体流104b和106b提供湍流,将增加这样的概率:足够数量的包含在其中的分子将到达处理区域78a,从而减少或避免捕获在印刻层34中的气体。为此,流体可以同时脉冲通过孔104a和106a,或者依次脉冲通过孔104a和106a,即首先将流体引导通过孔104a、随后通过孔106a然后再次通过孔104a,在所希望的时间内或在整个印刻过程中重复这种过程。此外,由于在使模具28和小滴36接触之前,希望足够数量的包含在流体流中的分子到达处理区域78a,因而气体流进入处理区域78a的时间是重要的。
参照图9,可替代的是,流体可以脉冲通过其中一个孔(例如孔104a),然后通过剩余的孔(例如孔106a)排出。这样,流体将被吸引穿过处理区域。还可有利的是,使流体同时脉冲通过孔104a和106a,然后同时通过孔104a和106a排出。然而,希望的是,建立流体的流量以使小滴36(在图2中所示)的运动最小或避免小滴的运动。
为确保使流体离开孔104a和106a、穿过处理区域78a,有利的是,使流体同时脉冲通过孔104a和106a,然后交替通过孔104a或106a中的一个孔排出。同时通过孔104a或106a引入流体使气氛78被充满所需的时间最小。交替通过孔104a或106a中的一个孔排出流体,确保流体行进通过处理区域78a。例如,第一步骤包括通过孔104a或106a将流体引入气氛78。第二步骤包括通过孔104a或106a中的一个孔(例如孔104a)排出流体。此后,在第三步骤,同时通过孔104a或106a将流体引入气氛78。在第四步骤,流体将通过孔104a或106a中的、在前述步骤中未用来排出流体的一个孔(例如孔106a)排出。应理解的是,可通过孔104a或106a中的一个孔排出流体,而通过孔104a或106a中的剩余孔引入流体。或者,排出可以发生在缺少流体流入气氛78的情况中。所希望的结果是,发生流体进入气氛78和从其中排出的情况,从而存在所希望的流体浓度。
参照图9和10,在另一实施例中,多个孔可围绕外围表面100a设置,从而一对孔的各个孔设置成在模板26的相对侧上彼此相对。这可通过将孔对104a和106a设置成在模板26的相对侧上彼此相对而示出。第二孔对示为108a和110a。孔对108a和110a设置成在模板26的相对侧上彼此相对。
如图所示,每个孔104a、106a、108a和110a布置成位于同一圆周上,相邻孔之间90°隔开。这样,每个孔104a、106a、108a和110a布置成便于流体流入/流出夹具本体42的不同象限。具体地说,孔104a便于流体流入/流出象限I;孔106a便于流体流入/流出象限II;孔108a便于流体流入/流出象限III;孔110a便于流体流入/流出象限IV。然而,可使用任何数量的孔,例如每个象限多于一个孔,不同的象限具有不同数量的孔且这些孔布置在任何希望的空间排列中。这些布置中的每种布置应便于将多个流体流引入气氛78和/或排出这些流体流,且将多个流体流的子流引入绕模板26的不同区域。可以相信,引入多个流体流提供在气氛78中的流体的湍流。可以相信,这增加在流体流中的分子将到达处理区域78a的概率。然而,可以以上文所述的任何方式发生这样的情况:流体通过每个孔104a、106a、108a和110a流入气氛78a和通过这些孔从气氛78a中排出。
在另一实施例中,可以通过每个孔104a、106a、108a和110a依次引入流体流,从而可在模板26和区域77之间产生流动池112。流动池112可便于流体流中的分子进入处理区域78a,以提供上文所述的益处。例如,首先可通过孔104a引入流体流,然后停止。在停止使流体流通过孔104a之后,开始使流体流通过孔106a,从而将流体引入气氛78。随后,停止使流体流通过孔106a。在停止使流体流通过孔106a之后,开始使流体流通过孔108a,从而将流体引入气氛78。随后,停止使流体流通过孔108a。在停止使流体流通过孔108a之后,开始使流体流通过孔110a,从而将流体引入气氛78。这样,在任何给定时间内,通过单个象限将流体引入气氛78。然而,也可希望将流体引入超过一个象限。虽然,这可能阻碍流动池112的产生,但这在本发明的范围内。
或者,可以通过104a、106a、108a和110a依次引入和排出,从而产生流动池112。这包括同时通过孔104a、106a、108a和110a中的一个或多个孔引入流体。随后,可以通过每个孔104a、106a、108a和110a依次排出,以产生流动池112。例如,可以同时通过在夹具本体42中的所有孔引入流体。此后,可以从每个孔104a、106a、108a和110a中、一次一个排出流体。在此之前,由于排出,通过孔104a、106a、108a和110a引入气氛78中的流体浓度低于所希望的水平。然后,通过孔104a、106a、108a和110a中的一个或所有孔再次引入流体,重复这种过程以产生和/或保持流动池112。
上文所述的本发明的实施例是示例性的。在保持在本发明的范围内的同时,可以对上文所述的披露进行很多改变和修改。因此,本发明的范围不应当参照以上说明来确定,而是应当参照所附权利要求书以及其同等物的全部范围来确定。
Claims (24)
1.一种用于减少存在于定位在基片上的粘性液体层中的气体的方法,所述方法包括:
改变靠近所述粘性液体的气体的输送,从而增加所述气体通过所述粘性液体、所述基片、与所述基片隔开的模制组件或它们的组合的输送。
2.如权利要求1所述的方法,其特征在于,所述气体的所述输送还包括增加所述气体在所述粘性液体、所述基片、所述模板或它们的组合中的溶解度。
3.如权利要求1所述的方法,其特征在于,所述气体的所述输送还包括增加所述气体在所述粘性液体、所述基片、所述模板或它们的组合中的扩散度。
4.如权利要求1所述的方法,其特征在于,所述方法还包括:通过将流体引入靠近所述基片的气氛,控制所述气氛。
5.如权利要求1所述的方法,其特征在于,所述方法还包括减小靠近所述基片的气氛的压力。
6.如权利要求1所述的方法,其特征在于,改变所述气体的所述输送还包括:使靠近所述粘性液体的气氛被氦气充满。
7.如权利要求1所述的方法,其特征在于,所述方法还包括将图案固化到所述粘性液体中。
8.一种用于减少存在于定位在基片上的粘性液体中的气体的方法,所述方法包括:
通过将模制组件放置在所述粘性液体附近,限定靠近所述基片的处理区域,所述处理区域具有与其相关联的气氛;以及
改变所述气氛的特性,从而增加所述气体在所述气氛中的输送,所述气体的所述输送通过所述模制组件、所述基片、所述液体或它们的组合。
9.如权利要求8所述的方法,其特征在于,改变所述气氛的特性还包括增加所述气氛在所述粘性液体、所述基片、所述模板或它们的组合中的溶解度。
10.如权利要求8所述的方法,其特征在于,改变所述气氛的特性还包括增加所述气氛在所述粘性液体、所述基片、所述模板或它们的组合中的扩散度。
11.如权利要求8所述的方法,其特征在于,改变所述气氛的特性还包括将选自一组包含二氧化碳和氦气的气体的气体引入所述气氛。
12.如权利要求11所述的方法,其特征在于,所述方法还包括减小所述气氛的压力。
13.如权利要求8所述的方法,其特征在于,所述方法还包括由所述粘性液体形成固化图案层。
14.一种用于减少存在于定位在基片上的粘性液体层中的气体的方法,所述方法包括:
将模制组件放置在所述基片附近,限定所述模制组件和所述基片之间的处理区域,所述处理区域具有与其相关联的气氛;
将流体引入所述气氛,以增加所述气体在所述粘性液体、所述模制组件、所述基片或它们的组合中的输送;以及
通过施加真空到所述处理区域,减小所述处理区域的压力。
15.如权利要求14所述的方法,其特征在于,引入所述流体还包括增加所述气氛在所述粘性液体、所述基片、所述模板或它们的组合中的溶解度。
16.如权利要求14所述的方法,其特征在于,引入所述流体还包括增加所述气氛在所述粘性液体、所述基片、所述模板或它们的组合中的扩散度。
17.一种用于减少存在于设置在基片上的粘性液体层中的气体的方法,所述方法包括:
改变靠近所述粘性液体的气体的组分,以增加所述气体在所述粘性液体、所述基片、与所述基片隔开的所述模制组件或它们的组合中的输送。
18.如权利要求17所述的方法,其特征在于,改变所述气体的组分还包括将附加气体引入限定初始气体的所述气体中,所述附加气体在所述粘性液体中的溶解度比所述初始气体在所述粘性液体中的溶解度高。
19.如权利要求17所述的方法,其特征在于,改变所述气体的组分还包括将附加气体引入限定初始气体的所述气体中,所述附加气体在所述粘性液体中的溶解度比所述初始气体在所述粘性液体中的扩散度高。
20.如权利要求17所述的方法,其特征在于,改变所述气体的组分还包括:通过将流体引入靠近所述基片的气氛,控制所述气氛,使所述粘性液体被所述流体充满。
21.如权利要求17所述的方法,其特征在于,所述方法还包括减小靠近所述基片的气氛的压力。
22.如权利要求18所述的方法,其特征在于,引入所述附加气体还包括引入选自一组包含二氧化碳和氦气的气体的所述附加气体。
23.如权利要求19所述的方法,其特征在于,引入所述附加气体还包括引入选自一组包含二氧化碳和氦气的气体的所述附加气体。
24.如权利要求17所述的方法,其特征在于,改变所述气体的组分还包括使靠近所述粘性液体的气氛被氦气充满。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/026,022 US8211214B2 (en) | 2003-10-02 | 2008-02-05 | Single phase fluid imprint lithography method |
US12/026,022 | 2008-02-05 | ||
PCT/US2009/000743 WO2009099630A1 (en) | 2008-02-05 | 2009-02-05 | Single phase fluid imprint lithography method |
Publications (1)
Publication Number | Publication Date |
---|---|
CN101932754A true CN101932754A (zh) | 2010-12-29 |
Family
ID=39525580
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2009801044625A Pending CN101932754A (zh) | 2008-02-05 | 2009-02-05 | 单相流体印刻平板印刷方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8211214B2 (zh) |
EP (1) | EP2252725A4 (zh) |
JP (1) | JP2011514658A (zh) |
KR (1) | KR20100112179A (zh) |
CN (1) | CN101932754A (zh) |
WO (1) | WO2009099630A1 (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104684710A (zh) * | 2012-06-19 | 2015-06-03 | 希捷科技有限公司 | 纳米级空隙的减小 |
CN111515095A (zh) * | 2020-05-13 | 2020-08-11 | 绍兴权电科技有限公司 | 基于充气式的多工位防伪贴印刷机器人整机 |
Families Citing this family (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7019819B2 (en) | 2002-11-13 | 2006-03-28 | Molecular Imprints, Inc. | Chucking system for modulating shapes of substrates |
US7442336B2 (en) * | 2003-08-21 | 2008-10-28 | Molecular Imprints, Inc. | Capillary imprinting technique |
US20060062922A1 (en) | 2004-09-23 | 2006-03-23 | Molecular Imprints, Inc. | Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor |
US7670529B2 (en) | 2005-12-08 | 2010-03-02 | Molecular Imprints, Inc. | Method and system for double-sided patterning of substrates |
US7670530B2 (en) | 2006-01-20 | 2010-03-02 | Molecular Imprints, Inc. | Patterning substrates employing multiple chucks |
US8012395B2 (en) | 2006-04-18 | 2011-09-06 | Molecular Imprints, Inc. | Template having alignment marks formed of contrast material |
US8215946B2 (en) | 2006-05-18 | 2012-07-10 | Molecular Imprints, Inc. | Imprint lithography system and method |
US20080303187A1 (en) * | 2006-12-29 | 2008-12-11 | Molecular Imprints, Inc. | Imprint Fluid Control |
US20090014917A1 (en) * | 2007-07-10 | 2009-01-15 | Molecular Imprints, Inc. | Drop Pattern Generation for Imprint Lithography |
US8119052B2 (en) * | 2007-11-02 | 2012-02-21 | Molecular Imprints, Inc. | Drop pattern generation for imprint lithography |
US8945444B2 (en) * | 2007-12-04 | 2015-02-03 | Canon Nanotechnologies, Inc. | High throughput imprint based on contact line motion tracking control |
US8361371B2 (en) * | 2008-02-08 | 2013-01-29 | Molecular Imprints, Inc. | Extrusion reduction in imprint lithography |
US8187515B2 (en) * | 2008-04-01 | 2012-05-29 | Molecular Imprints, Inc. | Large area roll-to-roll imprint lithography |
WO2009129441A2 (en) * | 2008-04-17 | 2009-10-22 | Massachusetts Institute Of Technology | Symmetric thermocentric flexure with minimal yaw error motion |
US8586126B2 (en) | 2008-10-21 | 2013-11-19 | Molecular Imprints, Inc. | Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement |
US8512797B2 (en) * | 2008-10-21 | 2013-08-20 | Molecular Imprints, Inc. | Drop pattern generation with edge weighting |
JP2011023660A (ja) * | 2009-07-17 | 2011-02-03 | Toshiba Corp | パターン転写方法 |
JP5491931B2 (ja) * | 2010-03-30 | 2014-05-14 | 富士フイルム株式会社 | ナノインプリント方法およびモールド製造方法 |
JP5828626B2 (ja) * | 2010-10-04 | 2015-12-09 | キヤノン株式会社 | インプリント方法 |
US8741199B2 (en) * | 2010-12-22 | 2014-06-03 | Qingdao Technological University | Method and device for full wafer nanoimprint lithography |
KR20130085759A (ko) | 2012-01-20 | 2013-07-30 | 삼성전자주식회사 | 스탬프 및 그 제조 방법 및 이를 이용한 임프린트 방법 |
JP5868215B2 (ja) | 2012-02-27 | 2016-02-24 | キヤノン株式会社 | インプリント装置およびインプリント方法、それを用いた物品の製造方法 |
JP6304921B2 (ja) * | 2012-06-05 | 2018-04-04 | キヤノン株式会社 | インプリント方法およびインプリント装置、それを用いた物品の製造方法 |
JP6643048B2 (ja) * | 2015-11-09 | 2020-02-12 | キヤノン株式会社 | 基板を処理する装置、物品の製造方法、および気体供給経路 |
US11669009B2 (en) | 2016-08-03 | 2023-06-06 | Board Of Regents, The University Of Texas System | Roll-to-roll programmable film imprint lithography |
KR20230003292A (ko) * | 2016-08-26 | 2023-01-05 | 몰레큘러 임프린츠 인코퍼레이티드 | 모놀리식 고굴절률 광자 디바이스들 |
JP6978853B2 (ja) | 2017-05-15 | 2021-12-08 | キヤノン株式会社 | インプリント装置、及び物品製造方法 |
US10895806B2 (en) * | 2017-09-29 | 2021-01-19 | Canon Kabushiki Kaisha | Imprinting method and apparatus |
Family Cites Families (201)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3783520A (en) * | 1970-09-28 | 1974-01-08 | Bell Telephone Labor Inc | High accuracy alignment procedure utilizing moire patterns |
FR2325018A1 (fr) * | 1975-06-23 | 1977-04-15 | Ibm | Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus |
IT1068535B (it) | 1975-11-03 | 1985-03-21 | Ibm | Apparecchio e processo elettrolito grafico |
DE2800476A1 (de) | 1977-01-07 | 1978-07-13 | Instruments Sa | Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter |
US4201800A (en) * | 1978-04-28 | 1980-05-06 | International Business Machines Corp. | Hardened photoresist master image mask process |
JPS6053675B2 (ja) * | 1978-09-20 | 1985-11-27 | 富士写真フイルム株式会社 | スピンコ−テイング方法 |
US4279628A (en) | 1979-12-31 | 1981-07-21 | Energy Synergistics, Inc. | Apparatus for drying a natural gas stream |
DE3377597D1 (en) * | 1982-04-12 | 1988-09-08 | Nippon Telegraph & Telephone | Method for forming micropattern |
US4544572A (en) | 1982-09-07 | 1985-10-01 | Minnesota Mining And Manufacturing Company | Coated ophthalmic lenses and method for coating the same |
US4451507A (en) * | 1982-10-29 | 1984-05-29 | Rca Corporation | Automatic liquid dispensing apparatus for spinning surface of uniform thickness |
FR2538923A1 (fr) | 1982-12-30 | 1984-07-06 | Thomson Csf | Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent |
US4507331A (en) * | 1983-12-12 | 1985-03-26 | International Business Machines Corporation | Dry process for forming positive tone micro patterns |
US4512848A (en) * | 1984-02-06 | 1985-04-23 | Exxon Research And Engineering Co. | Procedure for fabrication of microstructures over large areas using physical replication |
US4552833A (en) | 1984-05-14 | 1985-11-12 | International Business Machines Corporation | Radiation sensitive and oxygen plasma developable resist |
US4908298A (en) * | 1985-03-19 | 1990-03-13 | International Business Machines Corporation | Method of creating patterned multilayer films for use in production of semiconductor circuits and systems |
EP0228671A1 (en) | 1985-12-23 | 1987-07-15 | General Electric Company | Method for the production of a coated substrate with controlled surface characteristics |
US4657845A (en) * | 1986-01-14 | 1987-04-14 | International Business Machines Corporation | Positive tone oxygen plasma developable photoresist |
US4692205A (en) | 1986-01-31 | 1987-09-08 | International Business Machines Corporation | Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings |
US4737425A (en) * | 1986-06-10 | 1988-04-12 | International Business Machines Corporation | Patterned resist and process |
KR900004269B1 (ko) | 1986-06-11 | 1990-06-18 | 가부시기가이샤 도시바 | 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치 |
DE3760773D1 (en) * | 1986-07-25 | 1989-11-16 | Oki Electric Ind Co Ltd | Negative resist material, method for its manufacture and method for using it |
JPS6376330A (ja) | 1986-09-18 | 1988-04-06 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
US4707218A (en) | 1986-10-28 | 1987-11-17 | International Business Machines Corporation | Lithographic image size reduction |
US4931351A (en) * | 1987-01-12 | 1990-06-05 | Eastman Kodak Company | Bilayer lithographic process |
US6391798B1 (en) * | 1987-02-27 | 2002-05-21 | Agere Systems Guardian Corp. | Process for planarization a semiconductor substrate |
US5736424A (en) * | 1987-02-27 | 1998-04-07 | Lucent Technologies Inc. | Device fabrication involving planarization |
US4731155A (en) * | 1987-04-15 | 1988-03-15 | General Electric Company | Process for forming a lithographic mask |
US4808511A (en) * | 1987-05-19 | 1989-02-28 | International Business Machines Corporation | Vapor phase photoresist silylation process |
KR930000293B1 (ko) | 1987-10-26 | 1993-01-15 | 마쯔시다덴기산교 가부시기가이샤 | 미세패턴형성방법 |
US5028366A (en) | 1988-01-12 | 1991-07-02 | Air Products And Chemicals, Inc. | Water based mold release compositions for making molded polyurethane foam |
US4891303A (en) * | 1988-05-26 | 1990-01-02 | Texas Instruments Incorporated | Trilayer microlithographic process using a silicon-based resist as the middle layer |
US5821175A (en) | 1988-07-08 | 1998-10-13 | Cauldron Limited Partnership | Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface |
JPH0269936A (ja) | 1988-07-28 | 1990-03-08 | Siemens Ag | 半導体材料上の樹脂構造の形成方法 |
US4921778A (en) * | 1988-07-29 | 1990-05-01 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
EP0355496A3 (en) | 1988-08-15 | 1990-10-10 | Sumitomo Heavy Industries Co., Ltd. | Position detector employing a sector fresnel zone plate |
JP2546350B2 (ja) | 1988-09-09 | 1996-10-23 | キヤノン株式会社 | 位置合わせ装置 |
US4964945A (en) | 1988-12-09 | 1990-10-23 | Minnesota Mining And Manufacturing Company | Lift off patterning process on a flexible substrate |
US5439766A (en) | 1988-12-30 | 1995-08-08 | International Business Machines Corporation | Composition for photo imaging |
CA2010169A1 (en) | 1989-02-21 | 1990-08-21 | Masakazu Uekita | Multi-layer resist |
US4999280A (en) * | 1989-03-17 | 1991-03-12 | International Business Machines Corporation | Spray silylation of photoresist images |
US5169494A (en) | 1989-03-27 | 1992-12-08 | Matsushita Electric Industrial Co., Ltd. | Fine pattern forming method |
JP3001607B2 (ja) | 1989-04-24 | 2000-01-24 | シーメンス、アクチエンゲゼルシヤフト | 二層法における寸法安定な構造転写方法 |
ES2103261T3 (es) | 1989-04-24 | 1997-09-16 | Siemens Ag | Procedimiento para la generacion de estructuras resistentes a la corrosion. |
US5053318A (en) | 1989-05-18 | 1991-10-01 | Shipley Company Inc. | Plasma processing with metal mask integration |
CA2011927C (en) | 1989-06-02 | 1996-12-24 | Alan Lee Sidman | Microlithographic method for producing thick, vertically-walled photoresist patterns |
US4919748A (en) * | 1989-06-30 | 1990-04-24 | At&T Bell Laboratories | Method for tapered etching |
JP2704001B2 (ja) | 1989-07-18 | 1998-01-26 | キヤノン株式会社 | 位置検出装置 |
US5151754A (en) | 1989-10-06 | 1992-09-29 | Kabushiki Kaisha Toshiba | Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects |
US5362606A (en) | 1989-10-18 | 1994-11-08 | Massachusetts Institute Of Technology | Positive resist pattern formation through focused ion beam exposure and surface barrier silylation |
US5139925A (en) * | 1989-10-18 | 1992-08-18 | Massachusetts Institute Of Technology | Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser |
JP3197010B2 (ja) * | 1990-03-05 | 2001-08-13 | 株式会社東芝 | 間隔設定方法及び間隔設定装置 |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
JP2586692B2 (ja) | 1990-05-24 | 1997-03-05 | 松下電器産業株式会社 | パターン形成材料およびパターン形成方法 |
JP2524436B2 (ja) | 1990-09-18 | 1996-08-14 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 表面処理方法 |
US5314772A (en) * | 1990-10-09 | 1994-05-24 | Arizona Board Of Regents | High resolution, multi-layer resist for microlithography and method therefor |
US5240878A (en) | 1991-04-26 | 1993-08-31 | International Business Machines Corporation | Method for forming patterned films on a substrate |
US5212147A (en) * | 1991-05-15 | 1993-05-18 | Hewlett-Packard Company | Method of forming a patterned in-situ high Tc superconductive film |
US5421981A (en) * | 1991-06-26 | 1995-06-06 | Ppg Industries, Inc. | Electrochemical sensor storage device |
EP0524759A1 (en) | 1991-07-23 | 1993-01-27 | AT&T Corp. | Device fabrication process |
US5242711A (en) | 1991-08-16 | 1993-09-07 | Rockwell International Corp. | Nucleation control of diamond films by microlithographic patterning |
JPH0580530A (ja) | 1991-09-24 | 1993-04-02 | Hitachi Ltd | 薄膜パターン製造方法 |
US5263073A (en) | 1991-12-20 | 1993-11-16 | Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College | Scanning systems for high resolution E-beam and X-ray lithography |
US5244818A (en) | 1992-04-08 | 1993-09-14 | Georgia Tech Research Corporation | Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits |
US5545367A (en) | 1992-04-15 | 1996-08-13 | Soane Technologies, Inc. | Rapid prototype three dimensional stereolithography |
US5376810A (en) | 1992-06-26 | 1994-12-27 | California Institute Of Technology | Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response |
US5601641A (en) * | 1992-07-21 | 1997-02-11 | Tse Industries, Inc. | Mold release composition with polybutadiene and method of coating a mold core |
US5431777A (en) | 1992-09-17 | 1995-07-11 | International Business Machines Corporation | Methods and compositions for the selective etching of silicon |
TW227628B (zh) * | 1992-12-10 | 1994-08-01 | Samsung Electronics Co Ltd | |
DE69405451T2 (de) | 1993-03-16 | 1998-03-12 | Koninkl Philips Electronics Nv | Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche |
US5380474A (en) * | 1993-05-20 | 1995-01-10 | Sandia Corporation | Methods for patterned deposition on a substrate |
US5324683A (en) * | 1993-06-02 | 1994-06-28 | Motorola, Inc. | Method of forming a semiconductor structure having an air region |
JP2837063B2 (ja) * | 1993-06-04 | 1998-12-14 | シャープ株式会社 | レジストパターンの形成方法 |
US5512131A (en) * | 1993-10-04 | 1996-04-30 | President And Fellows Of Harvard College | Formation of microstamped patterns on surfaces and derivative articles |
US6180239B1 (en) * | 1993-10-04 | 2001-01-30 | President And Fellows Of Harvard College | Microcontact printing on surfaces and derivative articles |
US5900160A (en) * | 1993-10-04 | 1999-05-04 | President And Fellows Of Harvard College | Methods of etching articles via microcontact printing |
US5776748A (en) | 1993-10-04 | 1998-07-07 | President And Fellows Of Harvard College | Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor |
US6776094B1 (en) | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
NL9401260A (nl) * | 1993-11-12 | 1995-06-01 | Cornelis Johannes Maria Van Ri | Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan. |
KR970009858B1 (ko) | 1994-01-12 | 1997-06-18 | 엘지반도체 주식회사 | 다층 레지스트 패턴 형성방법 |
US5534101A (en) * | 1994-03-02 | 1996-07-09 | Telecommunication Research Laboratories | Method and apparatus for making optical components by direct dispensing of curable liquid |
US5417802A (en) * | 1994-03-18 | 1995-05-23 | At&T Corp. | Integrated circuit manufacturing |
US5453157A (en) | 1994-05-16 | 1995-09-26 | Texas Instruments Incorporated | Low temperature anisotropic ashing of resist for semiconductor fabrication |
US5670415A (en) * | 1994-05-24 | 1997-09-23 | Depositech, Inc. | Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment |
US5458520A (en) | 1994-12-13 | 1995-10-17 | International Business Machines Corporation | Method for producing planar field emission structure |
US5849209A (en) | 1995-03-31 | 1998-12-15 | Johnson & Johnson Vision Products, Inc. | Mold material made with additives |
US5743998A (en) * | 1995-04-19 | 1998-04-28 | Park Scientific Instruments | Process for transferring microminiature patterns using spin-on glass resist media |
US5820769A (en) | 1995-05-24 | 1998-10-13 | Regents Of The University Of Minnesota | Method for making magnetic storage having discrete elements with quantized magnetic moments |
US5948570A (en) | 1995-05-26 | 1999-09-07 | Lucent Technologies Inc. | Process for dry lithographic etching |
US5997273A (en) | 1995-08-01 | 1999-12-07 | Laquer; Henry Louis | Differential pressure HIP forging in a controlled gaseous environment |
US5654238A (en) | 1995-08-03 | 1997-08-05 | International Business Machines Corporation | Method for etching vertical contact holes without substrate damage caused by directional etching |
US5849222A (en) | 1995-09-29 | 1998-12-15 | Johnson & Johnson Vision Products, Inc. | Method for reducing lens hole defects in production of contact lens blanks |
US7758794B2 (en) | 2001-10-29 | 2010-07-20 | Princeton University | Method of making an article comprising nanoscale patterns with reduced edge roughness |
US6518189B1 (en) | 1995-11-15 | 2003-02-11 | Regents Of The University Of Minnesota | Method and apparatus for high density nanostructures |
US5772905A (en) | 1995-11-15 | 1998-06-30 | Regents Of The University Of Minnesota | Nanoimprint lithography |
US20040137734A1 (en) | 1995-11-15 | 2004-07-15 | Princeton University | Compositions and processes for nanoimprinting |
US6482742B1 (en) | 2000-07-18 | 2002-11-19 | Stephen Y. Chou | Fluid pressure imprint lithography |
US6309580B1 (en) | 1995-11-15 | 2001-10-30 | Regents Of The University Of Minnesota | Release surfaces, particularly for use in nanoimprint lithography |
US20040036201A1 (en) * | 2000-07-18 | 2004-02-26 | Princeton University | Methods and apparatus of field-induced pressure imprint lithography |
US5669303A (en) | 1996-03-04 | 1997-09-23 | Motorola | Apparatus and method for stamping a surface |
US6355198B1 (en) * | 1996-03-15 | 2002-03-12 | President And Fellows Of Harvard College | Method of forming articles including waveguides via capillary micromolding and microtransfer molding |
US6039897A (en) * | 1996-08-28 | 2000-03-21 | University Of Washington | Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques |
US5895263A (en) * | 1996-12-19 | 1999-04-20 | International Business Machines Corporation | Process for manufacture of integrated circuit device |
US6143412A (en) | 1997-02-10 | 2000-11-07 | President And Fellows Of Harvard College | Fabrication of carbon microstructures |
US5948470A (en) | 1997-04-28 | 1999-09-07 | Harrison; Christopher | Method of nanoscale patterning and products made thereby |
US5948219A (en) | 1997-05-07 | 1999-09-07 | Advanced Micro Devices, Inc. | Apparatus for selectively exposing a semiconductor topography to an electric field |
US5926690A (en) | 1997-05-28 | 1999-07-20 | Advanced Micro Devices, Inc. | Run-to-run control process for controlling critical dimensions |
US6033977A (en) * | 1997-06-30 | 2000-03-07 | Siemens Aktiengesellschaft | Dual damascene structure |
US5912049A (en) | 1997-08-12 | 1999-06-15 | Micron Technology, Inc. | Process liquid dispense method and apparatus |
US5991022A (en) | 1997-12-09 | 1999-11-23 | N&K Technology, Inc. | Reflectance spectrophotometric apparatus with toroidal mirrors |
US6150680A (en) | 1998-03-05 | 2000-11-21 | Welch Allyn, Inc. | Field effect semiconductor device having dipole barrier |
JP3780700B2 (ja) | 1998-05-26 | 2006-05-31 | セイコーエプソン株式会社 | パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法 |
US6150231A (en) | 1998-06-15 | 2000-11-21 | Siemens Aktiengesellschaft | Overlay measurement technique using moire patterns |
WO2000001495A1 (en) * | 1998-07-02 | 2000-01-13 | Millipore Corporation | Process for coating a solid surface with a liquid composition |
US5907782A (en) * | 1998-08-15 | 1999-05-25 | Acer Semiconductor Manufacturing Inc. | Method of forming a multiple fin-pillar capacitor for a high density dram cell |
US6096655A (en) | 1998-09-02 | 2000-08-01 | International Business Machines, Corporation | Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure |
US6713238B1 (en) * | 1998-10-09 | 2004-03-30 | Stephen Y. Chou | Microscale patterning and articles formed thereby |
US6218316B1 (en) * | 1998-10-22 | 2001-04-17 | Micron Technology, Inc. | Planarization of non-planar surfaces in device fabrication |
US6168845B1 (en) * | 1999-01-19 | 2001-01-02 | International Business Machines Corporation | Patterned magnetic media and method of making the same using selective oxidation |
US6274294B1 (en) | 1999-02-03 | 2001-08-14 | Electroformed Stents, Inc. | Cylindrical photolithography exposure process and apparatus |
US6565928B2 (en) * | 1999-03-08 | 2003-05-20 | Tokyo Electron Limited | Film forming method and film forming apparatus |
US6334960B1 (en) * | 1999-03-11 | 2002-01-01 | Board Of Regents, The University Of Texas System | Step and flash imprint lithography |
JP4286374B2 (ja) | 1999-03-30 | 2009-06-24 | 新日鐵化学株式会社 | シリコーン樹脂及びこれを含有する感光性樹脂組成物 |
US6387783B1 (en) * | 1999-04-26 | 2002-05-14 | International Business Machines Corporation | Methods of T-gate fabrication using a hybrid resist |
JP3291488B2 (ja) | 1999-05-27 | 2002-06-10 | 三洋電機株式会社 | 流体の被除去物除去方法 |
US6255022B1 (en) * | 1999-06-17 | 2001-07-03 | Taiwan Semiconductor Manufacturing Company | Dry development process for a bi-layer resist system utilized to reduce microloading |
EP1065567A3 (en) * | 1999-06-29 | 2001-05-16 | Applied Materials, Inc. | Integrated critical dimension control |
US6383928B1 (en) * | 1999-09-02 | 2002-05-07 | Texas Instruments Incorporated | Post copper CMP clean |
US6517995B1 (en) * | 1999-09-14 | 2003-02-11 | Massachusetts Institute Of Technology | Fabrication of finely featured devices by liquid embossing |
US6329256B1 (en) | 1999-09-24 | 2001-12-11 | Advanced Micro Devices, Inc. | Self-aligned damascene gate formation with low gate resistance |
DE19958966A1 (de) * | 1999-12-07 | 2001-06-13 | Infineon Technologies Ag | Erzeugung von Resiststrukturen |
US6391217B2 (en) * | 1999-12-23 | 2002-05-21 | University Of Massachusetts | Methods and apparatus for forming submicron patterns on films |
US6245581B1 (en) | 2000-04-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method and apparatus for control of critical dimension using feedback etch control |
JP2001358056A (ja) * | 2000-06-15 | 2001-12-26 | Canon Inc | 露光装置 |
CN100504598C (zh) * | 2000-07-16 | 2009-06-24 | 得克萨斯州大学系统董事会 | 用于平版印刷工艺中的高分辨率重叠对齐方法和系统 |
AU2001277907A1 (en) | 2000-07-17 | 2002-01-30 | Board Of Regents, The University Of Texas System | Method and system of automatic fluid dispensing for imprint lithography processes |
US20050037143A1 (en) * | 2000-07-18 | 2005-02-17 | Chou Stephen Y. | Imprint lithography with improved monitoring and control and apparatus therefor |
US7635262B2 (en) | 2000-07-18 | 2009-12-22 | Princeton University | Lithographic apparatus for fluid pressure imprint lithography |
US7211214B2 (en) * | 2000-07-18 | 2007-05-01 | Princeton University | Laser assisted direct imprint lithography |
US6326627B1 (en) | 2000-08-02 | 2001-12-04 | Archimedes Technology Group, Inc. | Mass filtering sputtered ion source |
US6730256B1 (en) * | 2000-08-04 | 2004-05-04 | Massachusetts Institute Of Technology | Stereolithographic patterning with interlayer surface modifications |
US6777170B1 (en) | 2000-08-04 | 2004-08-17 | Massachusetts Institute Of Technology | Stereolithographic patterning by variable dose light delivery |
US6455411B1 (en) | 2000-09-11 | 2002-09-24 | Texas Instruments Incorporated | Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics |
EP2306242A3 (en) | 2000-10-12 | 2011-11-02 | Board of Regents, The University of Texas System | Method of forming a pattern on a substrate |
US6632742B2 (en) | 2001-04-18 | 2003-10-14 | Promos Technologies Inc. | Method for avoiding defects produced in the CMP process |
US6489068B1 (en) | 2001-02-21 | 2002-12-03 | Advanced Micro Devices, Inc. | Process for observing overlay errors on lithographic masks |
US6387787B1 (en) | 2001-03-02 | 2002-05-14 | Motorola, Inc. | Lithographic template and method of formation and use |
US6541360B1 (en) * | 2001-04-30 | 2003-04-01 | Advanced Micro Devices, Inc. | Bi-layer trim etch process to form integrated circuit gate structures |
US6534418B1 (en) * | 2001-04-30 | 2003-03-18 | Advanced Micro Devices, Inc. | Use of silicon containing imaging layer to define sub-resolution gate structures |
US6847433B2 (en) * | 2001-06-01 | 2005-01-25 | Agere Systems, Inc. | Holder, system, and process for improving overlay in lithography |
TW488080B (en) | 2001-06-08 | 2002-05-21 | Au Optronics Corp | Method for producing thin film transistor |
US6561706B2 (en) * | 2001-06-28 | 2003-05-13 | Advanced Micro Devices, Inc. | Critical dimension monitoring from latent image |
SG187992A1 (en) | 2001-07-25 | 2013-03-28 | Univ Princeton | Nanochannel arrays and their preparation and use for high throughput macromolecular analysis |
WO2003035932A1 (en) * | 2001-09-25 | 2003-05-01 | Minuta Technology Co., Ltd. | Method for forming a micro-pattern on a substrate by using capillary force |
US20030080472A1 (en) * | 2001-10-29 | 2003-05-01 | Chou Stephen Y. | Lithographic method with bonded release layer for molding small patterns |
US6716767B2 (en) * | 2001-10-31 | 2004-04-06 | Brewer Science, Inc. | Contact planarization materials that generate no volatile byproducts or residue during curing |
JP3850718B2 (ja) | 2001-11-22 | 2006-11-29 | 株式会社東芝 | 加工方法 |
US6890688B2 (en) * | 2001-12-18 | 2005-05-10 | Freescale Semiconductor, Inc. | Lithographic template and method of formation and use |
CA2473860A1 (en) * | 2002-01-25 | 2003-08-07 | Innovadyne Technologies, Inc. | Low volume, non-contact liquid dispensing method |
US6737202B2 (en) * | 2002-02-22 | 2004-05-18 | Motorola, Inc. | Method of fabricating a tiered structure using a multi-layered resist stack and use |
US7455955B2 (en) * | 2002-02-27 | 2008-11-25 | Brewer Science Inc. | Planarization method for multi-layer lithography processing |
US6881366B2 (en) | 2002-04-22 | 2005-04-19 | International Business Machines Corporation | Process of fabricating a precision microcontact printing stamp |
US6743713B2 (en) | 2002-05-15 | 2004-06-01 | Institute Of Microelectronics | Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC) |
US6849558B2 (en) * | 2002-05-22 | 2005-02-01 | The Board Of Trustees Of The Leland Stanford Junior University | Replication and transfer of microstructures and nanostructures |
US6926929B2 (en) | 2002-07-09 | 2005-08-09 | Molecular Imprints, Inc. | System and method for dispensing liquids |
US6900881B2 (en) * | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US7442336B2 (en) * | 2003-08-21 | 2008-10-28 | Molecular Imprints, Inc. | Capillary imprinting technique |
US7077992B2 (en) * | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US7019819B2 (en) * | 2002-11-13 | 2006-03-28 | Molecular Imprints, Inc. | Chucking system for modulating shapes of substrates |
US6908861B2 (en) | 2002-07-11 | 2005-06-21 | Molecular Imprints, Inc. | Method for imprint lithography using an electric field |
US6932934B2 (en) | 2002-07-11 | 2005-08-23 | Molecular Imprints, Inc. | Formation of discontinuous films during an imprint lithography process |
US6916584B2 (en) | 2002-08-01 | 2005-07-12 | Molecular Imprints, Inc. | Alignment methods for imprint lithography |
US7071088B2 (en) | 2002-08-23 | 2006-07-04 | Molecular Imprints, Inc. | Method for fabricating bulbous-shaped vias |
JP3700001B2 (ja) * | 2002-09-10 | 2005-09-28 | 独立行政法人産業技術総合研究所 | インプリント方法及び装置 |
US6980282B2 (en) | 2002-12-11 | 2005-12-27 | Molecular Imprints, Inc. | Method for modulating shapes of substrates |
US6929762B2 (en) | 2002-11-13 | 2005-08-16 | Molecular Imprints, Inc. | Method of reducing pattern distortions during imprint lithography processes |
US7750059B2 (en) | 2002-12-04 | 2010-07-06 | Hewlett-Packard Development Company, L.P. | Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure |
US6871558B2 (en) * | 2002-12-12 | 2005-03-29 | Molecular Imprints, Inc. | Method for determining characteristics of substrate employing fluid geometries |
US7365103B2 (en) * | 2002-12-12 | 2008-04-29 | Board Of Regents, The University Of Texas System | Compositions for dark-field polymerization and method of using the same for imprint lithography processes |
US6986815B2 (en) | 2003-01-08 | 2006-01-17 | General Electric Company | Flow system flush process |
US6770852B1 (en) | 2003-02-27 | 2004-08-03 | Lam Research Corporation | Critical dimension variation compensation across a wafer by means of local wafer temperature control |
US6943117B2 (en) | 2003-03-27 | 2005-09-13 | Korea Institute Of Machinery & Materials | UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization |
TWI228638B (en) | 2003-06-10 | 2005-03-01 | Ind Tech Res Inst | Method for and apparatus for bonding patterned imprint to a substrate by adhering means |
US7157036B2 (en) * | 2003-06-17 | 2007-01-02 | Molecular Imprints, Inc | Method to reduce adhesion between a conformable region and a pattern of a mold |
US7090716B2 (en) | 2003-10-02 | 2006-08-15 | Molecular Imprints, Inc. | Single phase fluid imprint lithography method |
US20050106321A1 (en) * | 2003-11-14 | 2005-05-19 | Molecular Imprints, Inc. | Dispense geometery to achieve high-speed filling and throughput |
US8076386B2 (en) | 2004-02-23 | 2011-12-13 | Molecular Imprints, Inc. | Materials for imprint lithography |
US20050189676A1 (en) | 2004-02-27 | 2005-09-01 | Molecular Imprints, Inc. | Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography |
US20050276919A1 (en) | 2004-06-01 | 2005-12-15 | Molecular Imprints, Inc. | Method for dispensing a fluid on a substrate |
DE602005022874D1 (de) | 2004-06-03 | 2010-09-23 | Molecular Imprints Inc | Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich |
US20050270516A1 (en) | 2004-06-03 | 2005-12-08 | Molecular Imprints, Inc. | System for magnification and distortion correction during nano-scale manufacturing |
US20070228593A1 (en) | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Residual Layer Thickness Measurement and Correction |
US7547504B2 (en) * | 2004-09-21 | 2009-06-16 | Molecular Imprints, Inc. | Pattern reversal employing thick residual layers |
US20060062922A1 (en) * | 2004-09-23 | 2006-03-23 | Molecular Imprints, Inc. | Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor |
US7244386B2 (en) | 2004-09-27 | 2007-07-17 | Molecular Imprints, Inc. | Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom |
KR20070086766A (ko) | 2004-12-01 | 2007-08-27 | 몰레큘러 임프린츠 인코퍼레이티드 | 임프린트 리소그래피 공정용 열관리를 위한 노출 방법 |
US7281919B2 (en) | 2004-12-07 | 2007-10-16 | Molecular Imprints, Inc. | System for controlling a volume of material on a mold |
US7316554B2 (en) * | 2005-09-21 | 2008-01-08 | Molecular Imprints, Inc. | System to control an atmosphere between a body and a substrate |
US7906058B2 (en) | 2005-12-01 | 2011-03-15 | Molecular Imprints, Inc. | Bifurcated contact printing technique |
US7670529B2 (en) | 2005-12-08 | 2010-03-02 | Molecular Imprints, Inc. | Method and system for double-sided patterning of substrates |
US7670530B2 (en) | 2006-01-20 | 2010-03-02 | Molecular Imprints, Inc. | Patterning substrates employing multiple chucks |
US7462028B2 (en) | 2006-04-03 | 2008-12-09 | Molecular Imprints, Inc. | Partial vacuum environment imprinting |
-
2008
- 2008-02-05 US US12/026,022 patent/US8211214B2/en active Active
-
2009
- 2009-02-05 KR KR1020107018536A patent/KR20100112179A/ko not_active Application Discontinuation
- 2009-02-05 JP JP2010545036A patent/JP2011514658A/ja active Pending
- 2009-02-05 CN CN2009801044625A patent/CN101932754A/zh active Pending
- 2009-02-05 WO PCT/US2009/000743 patent/WO2009099630A1/en active Application Filing
- 2009-02-05 EP EP09707651A patent/EP2252725A4/en not_active Withdrawn
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104684710A (zh) * | 2012-06-19 | 2015-06-03 | 希捷科技有限公司 | 纳米级空隙的减小 |
CN104684710B (zh) * | 2012-06-19 | 2017-04-26 | 希捷科技有限公司 | 纳米级空隙的减小 |
CN111515095A (zh) * | 2020-05-13 | 2020-08-11 | 绍兴权电科技有限公司 | 基于充气式的多工位防伪贴印刷机器人整机 |
CN111515095B (zh) * | 2020-05-13 | 2021-08-06 | 科瓦力(宁波)精密器械有限公司 | 基于充气式的多工位防伪贴印刷机器人整机 |
Also Published As
Publication number | Publication date |
---|---|
EP2252725A1 (en) | 2010-11-24 |
EP2252725A4 (en) | 2012-02-29 |
US20080141862A1 (en) | 2008-06-19 |
KR20100112179A (ko) | 2010-10-18 |
JP2011514658A (ja) | 2011-05-06 |
US8211214B2 (en) | 2012-07-03 |
WO2009099630A1 (en) | 2009-08-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101932754A (zh) | 单相流体印刻平板印刷方法 | |
US7281919B2 (en) | System for controlling a volume of material on a mold | |
JP4536157B1 (ja) | 単一位相流体インプリント・リソグラフィ法 | |
JP5198282B2 (ja) | 基板とモールドとの間に位置するガスを排出するための方法 | |
US7462028B2 (en) | Partial vacuum environment imprinting | |
CN100570445C (zh) | 用于纳米规模制造的流体分配和按需液滴分配 | |
JP5020385B2 (ja) | 複数表面上の接触角の低減 | |
US20100096764A1 (en) | Gas Environment for Imprint Lithography | |
CN111148615A (zh) | 压印方法和装置 | |
US20100015270A1 (en) | Inner cavity system for nano-imprint lithography | |
TWI794584B (zh) | 產生液滴圖案之方法、用於以液滴圖案成型膜之系統以及以液滴圖案製造物品之方法 | |
KR20200026063A (ko) | 임프린트 필드의 에지를 구배 선량으로 조명하기 위한 시스템 및 방법 | |
TWI290665B (en) | Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20101229 |