CN101728332A - 在集成电路器件中形成精细图案的方法 - Google Patents

在集成电路器件中形成精细图案的方法 Download PDF

Info

Publication number
CN101728332A
CN101728332A CN200910207744A CN200910207744A CN101728332A CN 101728332 A CN101728332 A CN 101728332A CN 200910207744 A CN200910207744 A CN 200910207744A CN 200910207744 A CN200910207744 A CN 200910207744A CN 101728332 A CN101728332 A CN 101728332A
Authority
CN
China
Prior art keywords
mask
area
layer
pattern
arrangement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910207744A
Other languages
English (en)
Other versions
CN101728332B (zh
Inventor
李宁浩
沈载煌
朴尚容
朴载宽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN101728332A publication Critical patent/CN101728332A/zh
Application granted granted Critical
Publication of CN101728332B publication Critical patent/CN101728332B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Abstract

本发明提供了一种在集成电路器件中形成精细图案的方法。一种制造集成电路器件的方法包括分别在特征层的第一和第二区域上形成第一和第二掩模结构。每个掩模结构包括双掩模图案和蚀刻掩模图案。各向同性蚀刻第一和第二掩模结构的蚀刻掩模图案,以从第一掩模结构移除蚀刻掩模图案并保留蚀刻掩模图案在第二掩模结构上的至少一部分。在第一和第二掩模结构的相对侧壁上形成间隔物。采用蚀刻掩模图案在第二掩模结构上的部分作为掩模将第一掩模结构从第一区域的间隔物之间选择性地移除,以分别在第一和第二区域中限定第一和第二掩模图案。分别采用第一和第二掩模图案作为掩模来图案化特征层以在第一区域上限定第一特征并在第二区域上限定第二特征。

Description

在集成电路器件中形成精细图案的方法
技术领域
本发明总地涉及集成电路器件的领域,更具体地,涉及制造集成电路器件的方法。
背景技术
由于半导体器件变得更加高度集成,所以半导体器件中的特征尺寸及其间的间隔逐渐减小。因此,用于形成器件特征的各种图案的节距也按比例地减小。然而,由于用来形成图案的传统光刻工艺的分辨率极限,已经逐渐难以在各种基板材料上形成足够精细的线条和间隔图案(后面称作“L/S”图案)。
使这些半导体器件更加高度集成的一种方法是通过使用有时称作自对准反转图案化(self-aligned reverse patterning,SARP)的光刻工艺,以形成相对精细的具有比较精细的节距的图案。根据自对准反转图案化方法,图案可以形成在特征将要形成在其中的层(特征层)上。共形层(conformal layer)可以形成在图案上并随后从特征层和图案上移除,除了共形层的一部分可以保留在图案的侧壁上。然后,图案可以从特征层上移除,使得共形层在侧壁上的部分保留。共形层的保留部分可以限定掩模图案,该掩模图案用于将反转图案蚀刻到特征层中。
例如,在美国专利No.6475891、No.6723607、No.7115525及No.7253118中也讨论了使用光刻来形成在制造半导体器件中使用的图案。
发明内容
根据本发明的实施例提供了通过单个光刻工艺来同时形成多个掩模图案,其中掩模图案包括具有不同宽度的各掩模图案元件。
根据本发明的一些实施例,一种制造集成电路器件的方法包括分别在特征层的第一区域和第二区域上形成第一掩模结构和第二掩模结构。第一掩模结构和第二掩模结构中的每个包括双掩模图案和在双掩模图案上的蚀刻掩模图案,该蚀刻掩模图案相对于双掩模图案具有蚀刻选择性。第一掩模结构和第二掩模结构的蚀刻掩模图案被各向同性地蚀刻,以将蚀刻掩模图案从第一掩模结构移除并保留蚀刻掩模图案在第二掩模结构上的至少一部分。间隔物(spacer)在第一掩模结构和第二掩模结构的相对侧壁上形成。采用蚀刻掩模图案在第二掩模结构上的部分作为掩模,将第一掩模结构从第一区域中的间隔物之间选择性地移除,以限定第一区域中的第一掩模图案和第二区域中的第二掩模图案,第一掩模图案包括相对的侧壁间隔物(sidewall spacer)并且空隙(void)在该相对的侧壁间隔物之间,第二掩模图案包括相对的侧壁间隔物并且第二掩模结构在该相对的侧壁间隔物之间。可以采用第一掩模图案作为掩模来图案化特征层以限定第一区域上的第一特征,并采用第二掩模图案作为掩模来图案化特征层以限定第二区域上的第二特征,第二特征具有比第一特征大的宽度。
在一些实施例中,在各向同性蚀刻之前,第二掩模结构的蚀刻掩模图案的厚度可以大于第一掩模结构的蚀刻掩模图案的宽度的一半。
在其它实施例中,在形成间隔物时,间隔物掩模层可以形成在第一掩模图案和第二掩模图案上。间隔物掩模层可以相对于蚀刻掩模图案和/或双掩模图案具有蚀刻选择性。间隔物掩模层可以被蚀刻以暴露在其相对的侧壁之间的第一掩模结构和第二掩模结构的各表面。通过采用蚀刻掩模图案在第二掩模结构上的部分作为掩模来蚀刻第一掩模结构和第二掩模结构的暴露表面,以移除第一掩模结构的双掩模图案而基本上不移除第二掩模结构的双掩模图案,第一掩模结构可以被选择性地移除。
根据本发明的其它实施例,一种器件包括具有第一区域和第二区域的基板。第一区域包括其上的多个第一特征,第二区域包括其上的多个第二特征,该多个第二特征具有比第一区域中的多个第一特征的宽度更大的各宽度。通过分别在特征层的第一区域和第二区域上形成第一掩模结构和第二掩模结构,多个第一特征和多个第二特征形成在基板的第一区域和第二区域上。第一掩模结构和第二掩模结构中的每个包括双掩模图案和在双掩模图案上的蚀刻掩模图案,该蚀刻掩模图案相对于双掩模图案具有蚀刻选择性。第一掩模结构和第二掩模结构的蚀刻掩模图案被各向同性地蚀刻,以从第一掩模结构移除蚀刻掩模图案并保留蚀刻掩模图案在第二掩模结构上的至少一部分。在第一掩模结构和第二掩模结构的相对侧壁上形成间隔物,采用蚀刻掩模图案在第二掩模结构上的部分作为掩模将第一掩模结构从间隔物之间选择性地移除。采用间隔物作为掩模来图案化特征层以在第一区域上限定多个第一特征,并采用第二掩模结构和在第二掩模结构的相对侧壁上的间隔物作为掩模来图案化特征层以在第二区域上限定多个第二特征。
附图说明
图1是示出在根据本发明的一些实施例中包括非易失性存储器件的系统的方框图;
图2是在根据本发明的一些实施例中包括两个分离区域(A和B)的半导体基板的平面图,其中两个分离区域(A和B)分别具有形成于其上的特征;
图3a-3h是示出根据本发明的一些实施例的采用自对准反转图案化来同时形成多个掩模图案的方法的截面图;
图3i是示出在根据本发明的一些实施例中在特征层上形成双掩模层的方法的流程图;
图4是根据本发明一些实施例的半导体器件的平面图;
图5a-5f是示出在根据本发明的一些实施例中通过同时形成在自对准反转图案化中使用的多个掩模图案而在基板中形成STI区域的方法的截面图;
图6a-6h是示出根据本发明的另外的实施例来形成STI区域的方法的截面图;
图7是示出根据本发明的一些实施例形成的NAND闪存器件的平面图;
图8a-图14a是图7的半导体器件的突出显示部分的平面图,示出了采用根据本发明的一些实施例的自对准反转图案化来同时形成多个掩模图案的方法;
图8b-图14b是图7的半导体器件的突出显示部分的截面图,示出了采用根据本发明的一些实施例的自对准反转图案化来同时形成多个掩模图案的方法;
图15a-15e是示出在根据本发明的进一步的实施例中形成用于镶嵌工艺(damascene process)的多个掩模图案的方法的截面图。
具体实施方式
在下文中将参照附图更充分地描述本发明,附图示出了本发明的实施例。然而,本发明不应被解释为限于这里阐述的实施例。相反,提供这些实施例是为了使本公开透彻和完整,并将本发明的范围充分传达给本领域技术人员。在附图中,为了清晰起见,层和区域的厚度可以被夸大。相同的附图标记通篇指代相同的元件。如这里所使用的,术语“和/或”包括一个或者多个所列相关项目的任何及所有组合,并可以被简写为“/”。
这里采用的术语仅为了描述特定的实施例,并非要限制本发明。正如这里所使用的,除非上下文另有明确表述,否则单数形式“一(a、an)”和“该(the)”均同时旨在包括复数形式。还应当理解,术语“包括(comprises)”、“包括(comprising)”、“具有(have)”、“具有(having)”、“包括(includes)”和/或“包括(including)”,当在本说明书中使用时,指定了所述特征、区域、步骤、操作、元件和/或部件的存在,但并不排除一个或者多个其它特征、区域、步骤、操作、元件、部件和/或其组合的存在或增加。
应当理解,当诸如层或区域的元件被称为“在”另一元件(或其变化)“上”或延伸“到”另一元件(或其变化)“上”时,它可以直接在另一元件上或直接延伸到另一元件上,或者还可以存在插入的元件。相反,当称一元件“直接在”另一元件(或其变化)“上”或“直接”延伸“到”另一元件(或其变化)“上”时,不存在插入的元件或层。还应当理解,当称一元件“连接到”或者“耦接到”另一元件(或其变化)时,它可以直接连接到或者直接耦接到另一元件,或者可以存在插入的元件。相反,当称一元件“直接连接到”或者“直接耦接到”另一元件(或其变化)时,不存在插入的元件。
应当理解,尽管术语第一、第二等可以在此用于描述各种元件、部件、区域、层和/部分,但是这些元件、材料、区域、层和/或部分不应受限于这些术语。这些术语仅用于将一个元件、材料、区域、层或者部分与另一个元件、材料、区域、层或者部分区别开。因此,下面讨论的第一元件、材料、区域、层或部分可以被称为第二元件、材料、区域、层或部分,而不脱离本发明的教导。
相对术语,例如“下面”、“背面”和“上面”在此可以用于描述如附图所示的一个元件相对于另一个元件的关系。应当理解,除了附图中所示的取向之外,相对术语旨在涵盖器件的不同取向。例如,如果图1中的结构被翻转,则被描述为在基板的“背面”的元件会取向为在基板的“上”表面上。因此,取决于于附图中的特定取向,示范性术语“上”就能够包含之上和之下两种取向。类似地,如果附图中的结构被翻转,则被描述为“在”其它元件“之下”或者“下方”的元件会取向为“在”其它元件“之上”。因此,示范性术语“在...之下”或“在...下方”就能够包含之上和之下两种取向。
这里,参照截面图和透视图来描述本发明的实施例,这些附图为本发明理想化实施例的示意图。因而,例如,由制造技术和/或公差引起的视图形状的变化是可能发生的。因此,本发明的实施例不应解释为局限于在此所示区域的特定形状,而是包括由例如制造引起的形状偏差在内。例如,典型地,图示或描述为平坦的区域可以具有粗糙和/或非线性的特征。此外,典型地,所示出的锐角可以为圆形。因此,附图中所示区域本质上是示意性的,它们的形状并非要展示器件区的精确形状,也并非要限制本发明的范围。
除非另有定义,此处使用的所有术语(包括技术术语和科学术语)都具有本发明所属领域内的普通技术人员所通常理解的相同的含义。还应当理解,诸如通用词典中所定义的术语,除非此处加以明确定义,否则应当被解释为具有与它们在相关领域的语境中的含义相一致的含义,而不应被解释为理想化或者过度形式化的意义。
本发明的实施例可以源自这样的认识:用于形成低密度图案(具有相对较大的宽度)的掩模可以通常在用于形成高密度图案(具有相对较小的宽度)的掩模之后形成,这会导致低密度图案与高密度图案之间不能对准。从而,如这里在下面更详细描述的,根据本发明的实施例能够提供采用自对准反转图案化同时形成多个掩模图案。该掩模图案可以包括具有不同宽度的各掩模图案元件。例如,在根据本发明的一些实施例中,具有不同宽度和/或其间具有不同间隔的元件可以同时形成在特征层上,随后采用其中具有不同尺寸/间隔的元件的图案来使该特征层被蚀刻。因此,通过由同一光刻工艺来同时形成具有不同尺寸的元件的掩模图案,本发明的实施例可以有助于在制造半导体器件的过程中减少对准的问题。
此外,在根据本发明的一些实施例中,一个掩模图案可以通过在器件的一个区域中移除具有形成在其上的侧壁间隔物的结构来形成,而器件的第二区域包括保留在侧壁间隔物之间的结构。因此,保留芯片的第二区域中的结构而移除第一区域中结构能够有助于提供具有不同尺寸的元件。具体地,在上述的第一区域中,在相应掩模中的元件可以限定为侧壁间隔物本身,一旦结构被移除,该侧壁间隔物将保留。此外,芯片的第二区域中的元件可以包括侧壁间隔物及保留在其间的结构。因此,包括在芯片的不同区域的不同图案中的不同元件可以具有不同的尺寸。
图1是示出包括非易失性存储器30的系统100的高级别(high level)方框图,非易失性存储器30包括解码器电路34,解码器电路34驱动耦接到单元阵列32的字线WL0-WLn。非易失性存储器30的单元阵列32可以包括多个存储单元。单元阵列32还经由位线BL0-BLm耦接到页缓冲器(page buffer)36。应当理解,当数据经由位线BL0-BLm提供到页缓冲器36时,解码器34可以用于确定选定的存储单元在阵列32内的地址。
此外,解码器34可以控制位线选择电路38,位线选择电路38可以经由控制线Yi选择由页缓冲器36提供的数据。来自位线选择电路38的选择数据可以通过数据缓冲器42提供,数据缓冲器42在控制单元44的控制下运行,控制单元44也由解码器34管理。
非易失性存储器30可以由存储控制器电路20控制,存储控制器电路20包括辅助控制电路,该辅助控制电路构造为操作非易失性存储器30以对其执行读和写循环。具体地,当来自存储控制器20的地址输入到解码器34时,解码器34可以产生选择信号Yi以选择字线WL0-WLn中的一个或者位线BL0-BLm中的一个。存储控制器电路20还可以包括缓冲存储器22,缓冲存储器22可以用于临时存储要写入到非易失性存储器30的数据并临时存储从非易失性存储器30读取的数据。
主机系统10耦接到存储控制器20并可以提供对存储控制器电路20上高级别的控制以执行对非易失性存储器30的读/写操作。具体地,来自主机系统10的数据和写命令可以输入到存储控制器20。存储控制器20可以控制非易失性存储器30以根据输入命令将数据写入单元阵列32,和/或控制非易失性存储器30以根据来自主机10的读命令将数据从单元阵列32读出。在主机10和闪存存储器30之间传输的数据可以临时存储在缓冲存储器22中。应当理解,这里描述的本发明的实施例可以用于提供图1中示出的任意电路以及未示出的电路。
图2是根据本发明的一些实施例的包括两个分离区域(A和B)的半导体器件的平面图,其中两个分离区域(A和B)分别具有形成于其上的特征。具体地,半导体器件200的区域A包括两个特征或图案210。每个特征210具有宽度W1,并且特征210分隔开距离D1。此外,半导体器件200的分离区域B包括具有宽度W2的特征或图案220,宽度W2不同于区域A中的特征210的宽度。
在一些实施例中,区域A可以表示例如器件的包括相对较小的特征或图案的单元阵列区域。相反地,区域B可以表示例如单元阵列区域的外围核心或者其它部分,具有比包括于区域A中的特征或图案相对较大的特征或图案。具体地,与区域B中具有宽度W2的特征220相比,区域A中具有宽度W1的特征210可以相对较小。此外,在根据本发明的一些实施例中,区域A中的特征210之间的间隔D1可以与这些特征的宽度相同;或者,特征210之间的间隔D1可以比宽度W1小或者比宽度W1大。区域A中的特征210可以是有源图案或者诸如导线的导电图案。区域B中的特征220可以是有源图案或者导电图案,并且在一些实施例中可以是对准键(alignment key)。还应注意,不同区域中的特征210和220可以彼此电连接,或者可以彼此不电连接。
图3a-3h是示出根据本发明的一些实施例的采用自对准反转图案化来同时形成多个掩模图案的方法的截面图。具体地,初始结构340A和340B(这里整体称作340)形成在下层上,下层可以包括形成在双掩模层320上的蚀刻掩模层330,双掩模层320又形成在特征层310上,在器件200的区域A和B中的所有层可以由基板300支撑。
应当理解,根据要形成的半导体器件的具体类型,图3a中出的层可以由不同的材料形成。例如,如果图3a中示出的初始结构340被用于最终形成诸如栅极电极的导电结构,则特征层310可以是诸如TaN、TiN、W、WN、HfN、WSix及其组合的材料。或者,如果要形成在层310中的特征是位线,则特征层310可以由掺杂的多晶硅、诸如钨或铝的金属或者金属合金形成。进一步可选地,在根据本发明的一些实施例中,当在基板300中限定有源区域(active region)或者精细图案时,特征层300可以被去除,初始结构可以至少在初始时使用以限定基板300中的沟槽。如图3a所示,形成在区域A和B中的初始结构340分别形成为不同的宽度WD1和WD3,其中WD1小于W3,W3又小于形成在区域B中的特征220的宽度W2。
仍参照图3a,蚀刻掩模层330可以由相对于双掩模层320具有蚀刻选择性的材料形成。例如,在根据本发明的一些实施例中,蚀刻掩模层330可以由SiON、SiO2、Si3N4、SiCN、多晶硅、金属或有机材料形成。此外,在根据本发明的一些实施例中,初始结构340A和340B可以采用光刻工艺在同一步骤中形成。
如以上简要描述的,双掩模层320相对于蚀刻掩模层330具有蚀刻选择性。此外,双掩模层320可以由含硅的材料形成,例如SiO2、Si3N4、SiCN和/多晶硅。在根据本发明的另一些实施例中,双掩模层320可以由无定形碳层、含碳层或含碳材料形成。双掩模层320可以通过旋涂或CVD工艺而施加到特征层310。双掩模层320的形成在区域A中的部分可以用作牺牲层,该牺牲层用于形成具有多重图案的多个蚀刻掩模图案。双掩模层的形成在区域B中的部分可以是用于形成最终图案的蚀刻掩模的一部分。
在根据本发明的一些实施例中,当双掩模层320由含碳材料形成时,双掩模层320可以由包括芳香环的碳氢化合物或者包括其衍生物的有机化合物形成。例如,在根据本发明的一些实施例中,双掩模层320可以包括具有芳香环的有机化合物例如联苯(phenyl benzene)或萘。在根据本发明的另一些实施例中,双掩模层320可以是基于有机化合物的总重而具有相对较高的碳水平(例如,重量百分比为约85%到约99%)的层。
图3i是示出在根据本发明的一些实施例中在特征层310上形成双掩模层320的方法的流程图。根据图3i,有机化合物旋涂到特征层310(其将在随后的工艺中被蚀刻)上至约1000埃到约1500
Figure G200910207744XD00082
的厚度(方框301)。然后,特征层310上的有机化合物在约150℃到约350℃的温度烘烤约60秒以形成双掩模层320(方框302)。然后在约300℃到约550℃的温度对双掩模层320进行约30到约300秒的第二次烘烤以硬化双掩模层320(方框303)。第二次烘烤工艺可以有助于减少在制造半导体器件的随后步骤中当例如超过400℃的温度施加到器件上时可能引起的对双掩模层320的不利影响。
现在参照图3b,在形成图3a中的初始结构340之后,初始结构340被用于蚀刻下面的蚀刻掩模层330,以暴露双掩模层320的一部分。如图3b所示,在初始结构340下面的蚀刻掩模层的保留部分330A和330B与初始结构340的轮廓共形,因此分别具有大致相同的宽度WD1和W3。在根据本发明的一些实施例中,初始结构340的厚度可以在蚀刻掩模层330的蚀刻期间减小。
如图3c所示,采用蚀刻掩模层的保留部分330A和330B作为掩模来蚀刻双掩模层320。进行对双掩模层320的蚀刻以暴露下面的特征层310并限定来自双掩模层320的结构掩模图案320A和320B。在蚀刻双掩模层320期间,初始结构340可以被完全或者部分地移除。此外,在蚀刻双掩模层320之后,蚀刻掩模图案330B的厚度TB1大于蚀刻掩模图案330A的宽度WD1的一半,也就是,TB1>(WD1)/2。结构掩模图案320A可以用作用于形成区域A中的精细特征或图案的牺牲层,而结构掩模图案320B可以用作蚀刻掩模以在区域B中形成具有相对较大的宽度的特征或者图案。在根据本发明的一些实施例中,结构掩模图案320A和320B以及形成于其上的蚀刻掩模图案330A和330B限定了区域A和B中的第一掩模结构和第二掩模结构,该第一掩模结构和第二掩模结构可以由于它们不同的宽度而以不同的速率蚀刻。
更具体地,如图3d所示,采用各向同性蚀刻工艺,区域A中的蚀刻掩模图案330A被完全移除。可以进行对蚀刻掩模图案330A和330B的各向同性蚀刻工艺以提供对于其它暴露的层而言相对较高的蚀刻选择性。湿法蚀刻或者干法蚀刻可以用于各向同性蚀刻工艺。例如,如果蚀刻掩模层330A和330B由SiO2或者SiON形成,则HF溶液可以用于选择性地各向同性蚀刻蚀刻掩模层330A和330B。
然而,由于区域A中的第一掩模结构比区域B中的第二掩模结构窄,所以蚀刻掩模图案330A可以以比蚀刻掩模图案330B更快的速率蚀刻。因此,蚀刻掩模图案330A可以被移除,而并不完全移除蚀刻掩模图案330B。具体地,各向同性蚀刻工艺可以从蚀刻掩模图案330A的每侧移除量R,从而蚀刻掩模图案330A可以从结构掩模图案320A完全地移除。各向同性蚀刻工艺可以类似地从蚀刻掩模图案330B的上部及每侧移除量R,从而部分蚀刻掩模图案330C保留在结构掩模图案320B上。因此,保留的蚀刻掩模图案330C具有厚度TB2,厚度TB2小于蚀刻掩模图案330B的厚度TB1。
如图3e所示,间隔物掩模层350共形地沉积在结构掩模图案320A和320B上,包括沉积在保留的蚀刻掩模图案330C上。因此,间隔物层350可以直接接触结构掩模图案320A的上部。在根据本发明的一些实施例中,间隔物层350的厚度可以大致等于图2中示出的特征210的宽度W1。在根据本发明的另一些实施例中,间隔物层350的厚度大于或者小于特征210的宽度W1。间隔物掩模层350可以相对于保留的蚀刻掩模图案330C、结构掩模图案320A和320B和/或特征层310具有不同的蚀刻选择性。
根据图3f,间隔物层350的一部分从特征层310以及结构掩模图案320A和320B移除。具体地,在一些实施例中,间隔物层350可以被回蚀(etchback),以暴露第一掩模结构(包括结构掩模图案320A)和第二掩模结构(包括保留的蚀刻掩模图案330C)的表面。例如,在根据本发明的一些实施例中,施加到间隔物层350的回蚀工艺可以采用CxFy的主蚀刻气体来进行,其中x和y是1到10之间的整数。在另一些实施例中,主蚀刻气体可以是CHxFy,其中x和y是1到10之间的整数。此外,在一些实施例中,O2和/或Ar可以被添加到主蚀刻气体。
然而,如图3f所示,间隔物层的一部分保留在结构掩模图案320A和320B上(更具体地,保留在其侧壁上),以分别在结构掩模图案320A和320B上提供相对的侧壁间隔物350A和350B。间隔物350A可以用作蚀刻掩模以在区域A中形成相对较精细的特征,而间隔物350B可以用作部分蚀刻掩模以在区域B中形成相对较宽的特征(例如,具有比区域A中的特征的宽度大的宽度)。如图3f中进一步示出的,结构掩模图案320B上的相对的侧壁间隔物350B完全覆盖结构掩模图案320B的侧壁,并延伸到结构掩模图案320B之上以限定与保留的蚀刻掩模图案330C的侧壁接触的间隔物350C。
在根据本发明的一些实施例中,在间隔物层350的回蚀期间,聚合物副产物可以被产生并沉积在结构掩模图案320A、蚀刻掩模图案330C以及在相对的侧壁间隔物350A和350B上。然而,根据与回蚀工艺相关的条件,该聚合物副产物层可以被减少甚至消除。例如,聚合物副产物层会受到包括在主蚀刻气体中的O2的量影响,或者受到回蚀工艺期间所施加的温度影响。具体地,聚合物副产物层可以通过降低O2的量或者降低工艺温度而减少或者甚至消除。
现在参照图3g,结构掩模图案320A从间隔物350A之间选择性地移除。例如,被侧壁间隔物350A和350B暴露的第一掩模结构(包括结构掩模图案320A)和第二掩模结构(包括保留的蚀刻掩模图案330C和结构掩模图案320B)的表面可以采用选择蚀刻工艺蚀刻,使得自对准反转掩模图案320A的一部分从相对的侧壁350A之间移除,从而暴露出下面的特征层310的一部分。然而,尽管移除了结构掩模图案320A,但是区域B中的结构掩模图案320B可以保留(在厚度上没有明显的损失)。具体地,保留的蚀刻掩模图案330C以及相对的侧壁间隔物350B和350C保护下面的结构320B不受用于移除结构掩模图案320A的工艺的影响。在一些实施例中,即使没有形成间隔物350C,结构掩模图案320B也不会被实质性地移除,从而可以提供足够的厚度以在随后的工艺中用作蚀刻下面的特征层310的蚀刻掩模。
因此,多个自对准反转掩模图案同时形成在区域A和区域B中,其中各掩模图案元件中的一些比另一些窄。更具体地,如图3g所示,相对的侧壁间隔物350A限定自对准反转掩模图案中较窄的一部分,其具有比由相对的侧壁间隔物350B和350C、隔开相对的侧壁间隔物350B的结构掩模图案320B以及在其上的保留的蚀刻掩模图案330C限定的自对准反转掩模图案的宽度小的各宽度。
从而,如图3h所示,在区域A中形成的反转掩模图案(例如,间隔物350A)和在区域B中形成的自对准反转掩模图案(例如,间隔物350B和350C、结构掩模图案320B以及保留的蚀刻掩模图案330C)被用作蚀刻下面的特征层310的掩模,以限定区域A中的特征或图案310A以及区域B中的宽度不同的特征或图案310B。如上所述,这些特征可以是在半导体器件中使用的任何部件,诸如在栅极中的导电部件等。例如,特征310A和310B可以分别对应于图2中示出的特征210和220。
图4是根据本发明的一些实施例的半导体器件400的平面图,其中区域A中所示的元件410具有宽度W5并分隔开间隔D5,区域B中的元件420具有宽度W6。在一些实施例中,区域A可以例如表示器件的单元阵列区域,其包括相对较小的特征或图案。相反地,区域B可以例如表示单元阵列区域的外围核心或者其它部分,其具有与包括在区域A中的特征或图案相比相对较大的特征或图案。具体地,与区域B中示出的具有宽度W6的特征420相比,区域A中具有宽度W5的特征410可以相对较小。此外,在根据本发明的一些实施例中,区域A中的特征410之间的间隔D5可以与这些特征的宽度W5相同,或者特征410之间的间隔D5可以小于宽度W5或者大于宽度W5。区域A中的特征410可以是有源图案或者诸如导线的导电图案。区域B中的特征420可以是有源图案或者导电图案。还应注意,不同区域中的特征410和420可以彼此电连接或者彼此不电连接。
图5a-5f是示出在根据本发明的一些实施例中通过同时形成在自对准反转图案化中使用的多个掩模图案来在基板中形成STI区域的方法的截面图。根据图5a,初始图案340’(包括元件340A’和340B’)形成在蚀刻掩模层330’上,蚀刻掩模层330’形成在双掩模层320’上,双掩模层320’形成在缓冲掩模层510上,缓冲掩模层510形成在第二硬掩模层506上,第二硬掩模层506在第一硬掩模层504上,第一硬掩模层504在衬垫氧化层(pad oxide layer)502上,所有的层都位于基板500上。掩模图案元件340A’可以具有宽度WD2,而掩模图案元件340B’可以具有宽度W7,宽度W7大于WD2但小于图4中的特征420的宽度W6。相邻掩模图案元件340A’之间的节距可以为2P。
应当理解,硬掩模层504和/或506可以是仅包括一种材料的单层,或者可以是包括两个或者多个材料层的多层结构。硬掩模层504和506还可以具有不同的蚀刻选择性。在根据本发明的一些实施例中,缓冲掩模层510可以相对于硬掩模层506具有蚀刻选择性。然而,在其他实施例中,缓冲掩模层510可以被省略。
如图5b所示,掩模图案(包括区域A中的掩模元件350A’和区域B中的掩模元件320B’、330C’、350B’和350C’)形成在缓冲掩模层510上。具体地,区域A和B中的掩模图案的元件如以上参照图3a-3h所述地形成。包括间隔物350B’和350C’以及结构320B’和其上的蚀刻掩模层330C’的掩模图案形成在区域B中以具有整体宽度W6,宽度W6大于区域A中形成的侧壁间隔物350A’的宽度W5。
根据图5c,区域A和B中的掩模图案的元件被用作蚀刻掩模,以分别在区域A和B中形成元件510A和510B。具体地,采用区域A中的间隔物350A’以及区域B中的间隔物350B’和350C’、结构320B’和保留的蚀刻掩模层330C’作为掩模来图案化缓冲掩模层510以限定缓冲掩模图案510A和510B。形成在区域A中的缓冲掩模图案510A的宽度是W5,形成在区域B中的缓冲掩模图案510B的宽度是W6,W6比W5更宽。
如图5d所示,元件510A和510B被用于蚀刻硬掩模层506和504,以在区域A中提供包括层506A和504A的硬掩模图案并在区域B中提供包括层506B和504B的硬掩模图案,在硬掩模图案的下方衬垫氧化层502的一部分被暴露。
根据图5e,硬掩模图案506A/504A和506B/504B用作蚀刻掩模以蚀刻穿过衬垫氧化层502的暴露部分并进入基板500,从而形成区域A中的隔离沟槽570A和区域B中的隔离沟槽570B。
如图5f所示,绝缘材料形成在沟槽570A和570B中以分别在区域A和B中形成STI区域572A和572B。此外,有源区域574A和574B限定在紧邻的隔离区域572A和572B之间,有源区域574A和574B中的每个可以分别具有宽度W5和W6。有源区域574A和574B可以分别对应于图4的特征/图案410和420。此外,图5f示出了相邻有源区域之间的节距可以是P。
图6a-6h是示出根据本发明的另一些实施例的形成STI区域的方法的截面图。根据图6a,初始图案340”(包括元件340A”和340B”)形成在蚀刻掩模层330”上,蚀刻掩模层330”形成在双掩模层320”上,双掩模层320”形成在缓冲掩模层510’上,缓冲掩模层510’形成在第二硬掩模层506’上,第二硬掩模层506’形成在第一硬掩模层504’上,第一硬掩模层504’形成在衬垫氧化层502’上,所有的层都位于基板500’上。掩模图案元件340A”可以具有宽度WD2。相邻掩模图案元件340A”之间的节距可以是2P。
应当理解,硬掩模层504’和/或506’可以是仅包括一种材料的单层,或者可以是包括两个或者多个材料层的多层结构。硬掩模层504’和506’还可以具有不同的蚀刻选择性。在根据本发明的一些实施例中,缓冲硬掩模层510’可以相对于硬掩模层506’具有蚀刻选择性。然而,在其他的实施例中,可以省略缓冲掩模层510’。
如图6b所示,掩模图案(包括区域A中的掩模元件350A”和区域B中的掩模元件320B”、330C”、350B”和350C”)形成在缓冲掩模层510’上。具体地,区域A和B中的掩模图案的元件可以参照图3a-3h如上所述地形成。包括相对的侧壁间隔物350B”以及结构320B”和其上的蚀刻掩模层330C”的掩模图案形成在区域B中以具有整体宽度,该整体宽度大于区域A中形成的间隔物350A”的宽度W5。
根据图6c,区域A和B中的掩模图案的元件被用作蚀刻掩模,以分别在区域A和B中形成元件510A’和510B’。具体地,采用间隔物350A”(区域A中)以及间隔物350B”和350C”、结构320B”和保留的蚀刻掩模层330C”(区域B中)作为掩模来图案化缓冲掩模层510’,以限定缓冲掩模图案510A’和510B’。
如图6d所示,元件510A’和510B’用于蚀刻硬掩模层506’和504’,以在区域A中提供包括层506A’和504A’的硬掩模图案并在区域B中提供包括层506B’和504B’的硬掩模图案,在硬掩模图案的下方,部分衬垫氧化层502’被暴露。
根据图6e,宽的沟槽掩模图案620形成在区域A中的硬掩模图案506A’/504A’/510A’和区域B中的硬掩模图案506B’/504B’/510B’上。沟槽掩模图案620包括开口,在该开口中暴露出缓冲掩模图案510B’的表面。
如图6f所示,沟槽掩模图案620用作蚀刻掩模以蚀刻穿过缓冲掩模图案510B’的暴露表面、硬掩模图案的层506B’和540B’、衬垫氧化层502’并进入基板500”,从而在区域B中形成初始隔离沟槽(precursor isolationtrench)670B。初始隔离沟槽670B延伸进入基板500’至深度D1。
根据图6g,在区域B中形成初始隔离沟槽670B之后,沟槽掩模图案620被从区域A和B移除。如图6h所示,硬掩模图案506A’/504A’和506B’/504B’用作蚀刻掩模以蚀刻穿过衬垫氧化层502’的暴露部分并进入基板500’,从而限定区域A中的隔离沟槽670A和区域B中的隔离沟槽670C并增大区域B中的沟槽670B的深度。有源区域674A和674B分别限定在紧邻的沟槽670A和670B之间。区域B中的沟槽670B的深度D3大于区域A中的沟槽670A的深度D2。然而,在区域B中,各种图案可以通过各种距离彼此间隔开,并且可以形成各种深度的沟槽。因此,如图6h所示,区域B中的沟槽670C的深度小于区域B中的沟槽670B的深度D3。与以上参照图5f所讨论的相类似,STI区域(未示出)可以通过在沟槽670A、670B和/或670C中沉积绝缘材料来形成。
图7是示出根据本发明的一些实施例形成的NAND闪存器件的平面图。此外,在根据本发明的一些实施例中,突出显示的部分7500包括在随后附图中示出的各种截面图。仍参照图7,NAND器件700包括单元阵列区域700A,单元阵列区域700A包括NAND闪存型单元。区域700B对应于NAND闪存器件的接触区域。区域700C对应于闪存器件的外围区域。此外,区域740对应于单元块区域,其中元件701-732对应于诸如字线的多条导线。然而,在一些实施例中,多条导线701-732可以是位线,并且串选择线(stringselect line)SSL和/或接地选择线(ground select line)GSL可以被省略。在根据本发明的一些实施例中,区域750对应于虚设导线(dummy conductiveline),诸如字线、位线或金属线。在根据本发明的一些实施例中,元件772对应于用于外围电路的导电图案。在根据本发明的一些实施例中,区域700B用于将导线701-732连接到诸如解码器的外部电路。
图8a-14b是图7的半导体器件700的突出显示部分7500的交替的平面图和截面图,示出了采用根据本发明的一些实施例的自对准反转图案化来同时形成多个掩模图案的方法。如图8a-8b所示,元件800对应于其上可以形成闪存存储器件的基板,元件830对应于可由材料TaN、TiN、W、N、HfN、WSix和/或它们的组合形成的导线。在一些实施例中,元件830可以是位线,并可以由多晶硅、金属和/或金属合金形成。元件832对应于硬掩模层,该硬掩模层可以是包括一种材料的单层或者是包括分离的材料层的多层。元件834对应于缓冲掩模层,该缓冲掩模层相对于硬掩模层832具有不同的蚀刻选择性。在根据本发明的一些实施例中,元件320对应于双掩模层,元件330对应于蚀刻掩模层,元件340(包括340A和340B)对应于初始掩模图案。
根据图8b,具有不同间隔和宽度的多个初始图案340A和340B形成在蚀刻掩模层330上。例如,在由截面8A-8A’示出的初始图案中的元件340A之间的间隔可以为2PC,元件340A可以具有宽度WD3。由截面8C-8C’示出的元件340B的宽度可以为W12,根据截面8D-8D’示出的元件340B的宽度可以为W13。
如图9a和9b所示,在根据本发明的一些实施例中,采用自对准反转图案化,多个掩模图案形成在器件的不同区域中使得其中的元件具有不同的宽度。具体地,与以上参照图3a-3h所描述的相类似,间隔物350A和350B(其一些包括环形部分)形成在基板的不同区域中。根据截面8A-8A’和8B-8B’示出的间隔物350A可以具有与根据截面8C-8C’和8D-8D’示出的间隔物350B不同的宽度。具体地,由区域AA中的间隔物350A限定的掩模图案具有宽度W8’和间隔PC。由区域BB中的间隔物350B和350C以及结构320B和330C限定的掩模图案具有宽度W10’(在截面8C-8C’中)和宽度W11’(在截面8D-8D’中)。
现在参照图10a和图10b,分离掩模图案(separation mask)870形成在区域700A、700B和700C中的掩模图案上,并被图案化以部分地暴露出例如间隔物350A的环形部分。应当理解,在根据本发明的一些实施例中,分离掩模图案870可以是光致抗蚀剂材料。
根据图11a和图11b,移除(有时称为削减)图10a中示出的间隔物350A的暴露环形部分以将间隔物350A的部分彼此分离,从而限定两个分离的间隔物,例如如图11a所示。分离掩模图案870也被移除。如图12a和图12b所示,掩模图案的元件350A(区域AA中)以及元件350B、350C、320B和330C(区域BB中)被用于蚀刻下面的缓冲掩模层834,以限定特征或图案834A(区域AA中)以及特征或图案834B(区域BB中)。
参照图13a和图13b,特征834A和834B用作掩模以在导线层830上形成包括元件832A和832B的反转图案。根据图14a和图14b,采用反转图案832A和832B蚀刻导线层830,以在基板800上形成导线图案830A和830B。在一些实施例中,导线图案830A可以对应于图7的导线701-732和/或虚设导线750。在一些实施例中,导线图案830B可以对应于图7中的SSL、GSL和/或导电图案772。
图15a-15e是示出在根据本发明的另一些实施例中形成用于镶嵌工艺的多个掩模图案的方法的截面图。如图15a所示,蚀刻停止层(etch stop layer)902、模型层(mold layer)910、双掩模层320、蚀刻掩模层330和初始掩模图案340(包括元件340A和340B)形成在基板的区域A和B上。区域A中的元件340A可以具有相同的宽度BW1和节距2P,区域B中的元件340B可以具有不同的宽度BW2和BW3。与以上参照图3a-3h所描述的相类似,元件340A和340B被用作初始掩模以图案化蚀刻掩模层330和双掩模层320,从而限定区域A中的第一掩模图案(包括元件320A和330A)和区域B中的第二掩模图案(包括元件320B和330B)。采用各向同性蚀刻工艺从区域A移除蚀刻掩模图案330A而从区域B不完全移除蚀刻掩模图案330B(以限定保留的蚀刻掩模图案330C),间隔物层形成在掩模图案上并被回蚀以限定区域A中的侧壁间隔物350A和区域B中的间隔物350B/350C。
如图15b所示,双掩模元件320A被移除,使得间隔物350A保留在区域A中以限定自对准反转掩模图案的在区域A中的模型层910上的部分具有间隔P,间隔物350B和350C以及它们之间的结构320B和330C限定自对准反转掩模图案的在区域B中的模型层910上的部分。
根据图15c,采用由间隔物350A(区域A中)限定的掩模图案和由间隔物350B和350C以及结构320B和330C(区域B中)限定的掩模图案作为掩模来蚀刻模型层910,以在区域A和B中分别限定包括元件910A和910B的模型图案(mold pattern)。区域A中的模型图案910A具有节距P1并在其间限定开口S1,开口S1比限定在区域B中的模型图案910B之间的至少一些开口S2小。蚀刻掩模层902的由模型图案910A/910B中的开口S1和S2暴露的部分也可以被移除。
如图15d所示,包括阻挡层932和金属层934的镶嵌导电层930形成在模型图案910A/910B上,以填充其间的开口S1和S2。阻挡层932可以为约5~150厚,并可以采用CVD或溅射工艺由诸如Ta、TaN、TiN、TaSiN、TiSiN和/或它们的组合的材料形成。然而,在一些实施例中,阻挡层932可以被省略。在一些实施例中,金属层934可以由诸如Cu、W和/或Al的材料形成,并可以通过PVD或者电镀形成。例如,第一Cu层(具有约100~500
Figure G200910207744XD00172
的厚度)可以通过PVD工艺形成,第二Cu层(具有约1000~10000
Figure G200910207744XD00173
的厚度)可以采用第一Cu层作为籽晶层通过电镀工艺形成。
参照图15e,导电层930的在模型图案910A/910B的开口S1和S2外面的部分通过平坦化工艺移除,以分别在区域A和B中限定多个导线图案930A和930B。例如,在一些实施例中可以使用回蚀工艺和/或CMP工艺。模型图案910A/910B可以被移除,以在区域A中限定相对较精细的导线图案930A并在区域B中限定相对较大的导线图案930B。在一些实施例中导线图案930A可以对应于图7的导线701-732,在一些实施例中导线图案930B可以对应于图7的SSL、GSL和/或导电图案772。
如上所述,根据本发明的实施例能够提供采用自对准反转图案化来同时形成多个掩模图案,其中掩模图案包括具有不同宽度的各掩模图案元件。例如,在根据本发明的一些实施例中,具有不同宽度和/或其间的不同间隔的掩模元件能够同时形成在特征层上,该特征层可以随后采用其中具有不同尺寸/间隔的元件的掩模图案而被蚀刻。
此外,在根据本发明的一些实施例中,一个掩模图案可以通过在器件的一个区域中移除具有形成于其上的侧壁间隔物的结构而形成,而器件的第二区域包括保留在侧壁间隔物之间的结构。具体地,结构可以包括具有不同蚀刻选择性的至少两个层,其中第二区域中的结构的最上层的厚度大于第一区域中的结构的宽度的一半。因此,当两个结构被各向同性蚀刻时,第一区域中的结构的最上层可以被移除,而不完全移除第二区域中的结构的最上层,第二区域中的结构的保留最上层可以被用作掩模以从第一区域移除结构。从而,在上述的第一区域中,掩模元件可以包括侧壁间隔物本身,该侧壁间隔物在结构从第一区域移除后保留。然而,第二区域中的掩模元件可以包括侧壁间隔物以及保留在其间的结构。因此,包括在器件的不同区域的不同掩模图案中的不同元件可以具有不同的尺寸,该不同的元件可以被用于在随后的步骤中图案化一个或者多个器件层以提供具有不同尺寸的特征。
本申请要求于2008年10月22日提交到韩国知识产权局的韩国专利申请No.10-2008-0103721的优先权,其公开通过引用整体结合于此。本申请还涉及美国专利申请No.12/418023。

Claims (21)

1.一种制造半导体器件的方法,该方法包括:
分别在特征层的第一区域和第二区域上形成第一掩模结构和第二掩模结构,所述第一掩模结构和所述第二掩模结构中的每个包括双掩模图案和在该双掩模图案上的蚀刻掩模图案,该蚀刻掩模图案相对于所述双掩模图案具有蚀刻选择性;
各向同性蚀刻所述第一掩模结构和所述第二掩模结构的蚀刻掩模图案,以从所述第一掩模结构移除蚀刻掩模图案并保留蚀刻掩模图案在所述第二掩模结构上的至少一部分;
在所述第一掩模结构和所述第二掩模结构的相对侧壁上形成间隔物;以及
采用蚀刻掩模图案在所述第二掩模结构上的部分作为掩模来从所述第一区域的间隔物之间选择性地移除所述第一掩模结构,以在所述第一区域中限定第一掩模图案并在所述第二区域中限定第二掩模图案,所述第一掩模图案包括相对的侧壁间隔物并且在相对的侧壁间隔物之间具有空隙,所述第二掩模图案包括相对的侧壁间隔物并且所述第二掩模结构在相对的侧壁间隔物之间。
2.根据权利要求1所述的方法,还包括:
采用所述第一掩模图案作为掩模来图案化所述特征层以在所述第一区域上限定第一特征,并采用所述第二掩模图案作为掩模来图案化所述特征层以在所述第二区域上限定第二特征,该第二特征具有比所述第一特征大的宽度。
3.根据权利要求1所述的方法,其中在各向同性蚀刻之前,所述第二掩模结构的蚀刻掩模图案的厚度大于所述第一掩模结构的蚀刻掩模图案的宽度的一半。
4.根据权利要求1所述的方法,其中形成所述间隔物包括:
在所述第一掩模结构和所述第二掩模结构上形成间隔物掩模层,所述间隔物掩模层相对于所述蚀刻掩模图案和/或所述双掩模图案具有蚀刻选择性;以及
蚀刻所述间隔物掩模层以分别暴露所述第一掩模结构和所述第二掩模结构在其相对的侧壁之间的表面;
以及其中选择性地移除所述第一掩模结构包括:
采用蚀刻掩模图案在所述第二掩模结构上的部分作为掩模来蚀刻所述第一掩模结构和所述第二掩模结构的暴露表面,以移除所述第一掩模结构的双掩模图案而基本上不移除所述第二掩模结构的双掩模图案。
5.根据权利要求1所述的方法,其中形成所述第一掩模结构和所述第二掩模结构包括:
在基板的所述第一区域和所述第二区域上形成双掩模层;
在所述双掩模层上形成所述蚀刻掩模图案,所述蚀刻掩模图案包括在所述第一区域中的双掩模层上的第一部分和在所述第二区域中的双掩模层上的第二部分,该第二部分比所述第一部分宽;以及
采用所述蚀刻掩模图案作为掩模来图案化所述双掩模层,以在所述第一区域上限定所述第一掩模结构并在所述第二区域上限定所述第二掩模结构,该第二掩模结构的宽度大于所述第一掩模结构的宽度。
6.根据权利要求5所述的方法,其中形成所述蚀刻掩模图案包括:
在所述基板的所述第一区域和所述第二区域上的所述双掩模层上形成蚀刻掩模层;
在所述蚀刻掩模层上形成掩模图案,该掩模图案包括所述第一区域上的第一部分和所述第二区域的第二部分;以及
采用所述掩模图案来图案化所述蚀刻掩模层以在所述双掩模层上限定所述蚀刻掩模图案。
7.根据权利要求5所述的方法,其中所述双掩模层包括含硅层和含碳层中的至少一个。
8.根据权利要求1所述的方法,其中所述第一区域包括存储单元的有源区域,以及其中所述第二区域包括外围电路区域。
9.根据权利要求1所述的方法,其中所述特征层包括半导体基板,并且在形成所述第一掩模结构和所述第二掩模结构之前该方法还包括以下步骤:
在基板的第一区域和第二区域上形成衬垫氧化层;以及
在所述基板的第一区域和第二区域上的所述衬垫氧化层上形成硬掩模层;
其中形成所述第一掩模结构和所述第二掩模结构包括在所述硬掩模层上形成所述第一掩模结构和所述第二掩模结构。
10.根据权利要求9所述的方法,其中形成所述硬掩模层包括:
在所述衬垫氧化层上形成第一硬掩模层;以及
在所述第一硬掩模层上形成第二硬掩模层,
所述第二硬掩模层包括相对于所述第一硬掩模层的材料具有蚀刻选择性的材料。
11.根据权利要求9所述的方法,还包括:
在形成所述第一掩模结构和所述第二掩模结构之前,在所述硬掩模层上形成缓冲掩模层,所述缓冲掩模层包括相对于所述硬掩模层的材料具有蚀刻选择性的材料。
12.根据权利要求11所述的方法,还包括:
采用所述间隔物作为掩模来图案化所述缓冲掩模层以在所述第一区域上限定缓冲掩模图案的第一部分,并采用所述第二掩模结构和在其相对的侧壁上的间隔物作为掩模来图案化所述缓冲掩模层以在所述第二区域上限定所述缓冲掩模图案的第二部分,其中该第二部分比该第一部分宽;
采用所述缓冲掩模图案作为掩模来图案化所述硬掩模层,以限定暴露部分所述衬垫氧化层的硬掩模图案;
采用所述硬掩模图案作为掩模来图案化所述衬垫氧化层和所述基板以在所述基板的第一区域中限定第一隔离沟槽并在所述基板的第二区域中限定第二隔离沟槽;以及
用绝缘层填充所述第一隔离沟槽和所述第二隔离沟槽,以在所述基板的第一区域中限定第一有源区域并在所述基板的第二区域中限定第二有源区域,其中所述第二有源区域比所述第一有源区域宽。
13.根据权利要求12所述的方法,在图案化所述衬垫氧化层和所述基板之前还包括:
在所述硬掩模图案上形成沟槽掩模图案,在所述第二区域上所述沟槽掩模图案在其中包括开口;
采用所述沟槽掩模图案中的开口来图案化所述第二区域中的所述硬掩模图案、所述衬垫氧化层和所述基板,以在所述基板的第二区域中限定初始隔离沟槽;以及然后
移除所述沟槽掩模图案,
其中图案化所述衬垫氧化层和所述基板包括图案化所述衬垫氧化层和所述基板以在所述基板的第一区域中限定所述第一隔离沟槽并增大所述初始隔离沟槽的深度,从而在所述基板的第二区域中限定所述第二隔离沟槽,其中所述第二隔离沟槽延伸到所述基板中超过所述第一隔离沟槽。
14.根据权利要求1所述的方法,其中所述特征层包括导电层,并且所述方法还包括:
采用所述间隔物作为掩模来图案化所述导电层以在所述第一区域上限定第一导电图案,并采用所述第二掩模结构和形成在其相对的侧壁上的所述间隔物作为掩模来图案化所述导电层以在所述第二区域上限定第二导电图案,该第二导电图案的宽度大于所述第一导电图案的宽度。
15.根据权利要求14所述的方法,其中所述第一导电图案包括栅极电极、位线、字线和虚设导线中的至少一个。
16.根据权利要求14所述的方法,还包括:
在所述间隔物上形成分离掩模图案并暴露所述间隔物的一部分;
采用所述分离掩模图案作为掩模来选择性地蚀刻所述间隔物的暴露部分以分离所述间隔物的一部分;以及然后
移除所述分离掩模图案。
17.根据权利要求1所述的方法,其中所述第一掩模图案包括所述第一区域中的多个掩模元件,并且其中所述第二掩模图案包括所述第二区域中的多个掩模元件,所述第二区域中的多个掩模元件各自的宽度大于所述第一区域中的多个掩模元件各自的宽度。
18.根据权利要求17所述的方法,其中所述第一区域中的多个掩模元件中的一些具有不同的宽度。
19.根据权利要求1所述的方法,其中所述特征层包括基板,并且在形成所述第一掩模结构和所述第二掩模结构之前该方法还包括以下步骤:
在所述基板的所述第一区域和所述第二区域上形成蚀刻停止层;以及
在所述基板的所述第一区域和所述第二区域上形成模型层,
其中形成所述第一掩模结构和所述第二掩模结构包括在所述模型层上形成所述第一掩模结构和所述第二掩模结构,并且在将所述第一掩模结构从所述第一区域的间隔物之间选择性地移除之后还包括以下步骤:
采用所述间隔物作为掩模来图案化所述模型层,以形成模型图案的限定所述基板的所述第一区域上的第一开口的第一部分,并采用所述第二掩模结构和其相对侧壁上的所述间隔物作为掩模来图案化所述模型层以形成所述模型图案的限定所述基板的第二区域上的第二开口的第二部分,所述第二开口比所述第一开口宽;
形成导电层以分别填充在所述基板的所述第一区域和所述第二区域上的模型图案中的所述第一开口和所述第二开口;以及
移除所述模型图案以在所述第一区域中限定导电的精细图案并在所述第二区域中限定导电的器件图案,其中所述导电的器件图案比所述导电的精细图案宽。
20.一种器件,包括:
基板,包括第一区域和第二区域;
在所述基板的所述第一区域上的多个第一特征;以及
在所述基板的所述第二区域上的多个第二特征,所述多个第二特征各自的宽度大于所述第一区域中的多个第一特征各自的宽度,
其中通过以下步骤所述多个第一特征和所述多个第二特征形成在所述基板的所述第一区域和所述第二区域上:
分别在特征层的第一区域和第二区域上形成第一掩模结构和第二掩模结构,所述第一掩模结构和所述第二掩模结构中的每个包括双掩模图案和在该双掩模图案上的蚀刻掩模图案,所述蚀刻掩模图案相对于所述双掩模图案具有蚀刻选择性;
各向同性蚀刻所述第一掩模结构和所述第二掩模结构的蚀刻掩模图案,以从所述第一掩模结构移除蚀刻掩模图案并保留蚀刻掩模图案在所述第二掩模结构上的至少一部分;
在所述第一掩模结构和所述第二掩模结构的相对侧壁上形成间隔物;
采用蚀刻掩模图案在所述第二掩模结构上的部分作为掩模从所述间隔物之间选择性地移除所述第一掩模结构;以及
采用所述间隔物作为掩模来图案化所述特征层以在所述第一区域上限定所述多个第一特征,并采用所述第二掩模结构和在其相对的侧壁上的间隔物作为掩模来图案化所述特征层以在所述第二区域上限定所述多个第二特征。
21.根据权利要求20所述的方法,其中在各向同性蚀刻之前,所述第二掩模结构的蚀刻掩模图案的厚度大于所述第一掩模结构的蚀刻掩模图案的宽度的一半。
CN200910207744.XA 2008-10-22 2009-10-22 在集成电路器件中形成精细图案的方法 Active CN101728332B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR103721/08 2008-10-22
KR1020080103721A KR101540083B1 (ko) 2008-10-22 2008-10-22 반도체 소자의 패턴 형성 방법
US12/418,023 US8216947B2 (en) 2008-10-22 2009-04-03 Methods of forming fine patterns in integrated circuit devices
US12/418,023 2009-04-03

Publications (2)

Publication Number Publication Date
CN101728332A true CN101728332A (zh) 2010-06-09
CN101728332B CN101728332B (zh) 2014-06-04

Family

ID=42107979

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910207744.XA Active CN101728332B (zh) 2008-10-22 2009-10-22 在集成电路器件中形成精细图案的方法

Country Status (4)

Country Link
US (2) US8216947B2 (zh)
JP (1) JP2010103538A (zh)
KR (1) KR101540083B1 (zh)
CN (1) CN101728332B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102299137A (zh) * 2010-06-28 2011-12-28 三星电子株式会社 半导体器件和制造该器件的方法
CN102522370A (zh) * 2011-12-22 2012-06-27 上海宏力半导体制造有限公司 接触孔的形成方法
CN102522371A (zh) * 2011-12-22 2012-06-27 上海宏力半导体制造有限公司 接触孔的制作方法
CN104752169A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 掩膜图形的形成方法
CN104952782A (zh) * 2014-03-25 2015-09-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN107104043A (zh) * 2016-02-22 2017-08-29 爱思开海力士有限公司 图案形成方法以及使用其的半导体器件制造方法
CN107424925A (zh) * 2016-04-07 2017-12-01 格罗方德半导体公司 增加硬掩模线宽度的氧化填充材料线
CN108369899A (zh) * 2015-11-20 2018-08-03 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
CN109216167A (zh) * 2017-07-04 2019-01-15 联华电子股份有限公司 图案化方法
CN110828460A (zh) * 2018-08-14 2020-02-21 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
CN111029249A (zh) * 2018-10-09 2020-04-17 长鑫存储技术有限公司 通孔阵列的形成方法及半导体器件的形成方法

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101435520B1 (ko) * 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR101540083B1 (ko) * 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR20120041558A (ko) 2010-10-21 2012-05-02 삼성전자주식회사 반도체 소자의 제조 방법
KR101169164B1 (ko) 2010-10-27 2012-07-30 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
JP2012099627A (ja) * 2010-11-02 2012-05-24 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
US8993430B2 (en) 2011-09-30 2015-03-31 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device and semiconductor device
TWI473205B (zh) * 2011-11-24 2015-02-11 Powerchip Technology Corp 接觸窗開口的形成方法
JP5818679B2 (ja) 2011-12-27 2015-11-18 株式会社東芝 半導体装置の製造方法
KR101883327B1 (ko) * 2012-03-28 2018-07-30 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
FR2990794B1 (fr) * 2012-05-16 2016-11-18 Commissariat Energie Atomique Procede de realisation d'un substrat muni de zones actives variees et de transistors planaires et tridimensionnels
JP6118053B2 (ja) 2012-09-06 2017-04-19 キヤノン株式会社 固体撮像装置の製造方法
US8697538B1 (en) * 2012-11-07 2014-04-15 Winbond Electronics Corp. Method of forming pattern in substrate
US9252246B2 (en) 2013-08-21 2016-02-02 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic device
US9275864B2 (en) 2013-08-22 2016-03-01 Freescale Semiconductor,Inc. Method to form a polysilicon nanocrystal thin film storage bitcell within a high k metal gate platform technology using a gate last process to form transistor gates
CN104517845B (zh) * 2013-09-27 2017-11-14 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US10163652B2 (en) * 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9343314B2 (en) * 2014-05-30 2016-05-17 Freescale Semiconductor, Inc. Split gate nanocrystal memory integration
KR102257038B1 (ko) 2014-06-23 2021-05-28 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법, 및 이를 이용한 반도체 소자의 제조방법, 및 이를 이용하여 제조된 반도체 소자
US9224744B1 (en) * 2014-09-03 2015-12-29 Sandisk Technologies Inc. Wide and narrow patterning using common process
KR102323251B1 (ko) * 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
US9390922B1 (en) * 2015-02-06 2016-07-12 Sandisk Technologies Llc Process for forming wide and narrow conductive lines
CN106158628B (zh) 2015-03-23 2020-10-16 联华电子股份有限公司 半导体结构及其制作工艺
TWI648857B (zh) 2015-05-07 2019-01-21 聯華電子股份有限公司 半導體元件及其製作方法
CN106486371B (zh) 2015-08-28 2021-06-01 联华电子股份有限公司 一种制作半导体元件的方法
KR102410139B1 (ko) 2015-09-04 2022-06-16 삼성전자주식회사 반도체 장치 제조 방법
TWI704647B (zh) * 2015-10-22 2020-09-11 聯華電子股份有限公司 積體電路及其製程
CN106611699A (zh) * 2015-10-22 2017-05-03 中芯国际集成电路制造(上海)有限公司 双重构图方法及半导体器件的制造方法
KR20170091833A (ko) 2016-02-01 2017-08-10 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102400361B1 (ko) * 2016-03-18 2022-05-20 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102607278B1 (ko) * 2016-04-28 2023-11-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9972526B2 (en) * 2016-07-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure in semiconductor structure
US10840150B2 (en) 2017-01-10 2020-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
EP3419047A1 (en) * 2017-06-22 2018-12-26 IMEC vzw A method for patterning a target layer
US10755969B2 (en) 2018-01-01 2020-08-25 International Business Machines Corporation Multi-patterning techniques for fabricating an array of metal lines with different widths
US10276434B1 (en) 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
TWI697972B (zh) * 2018-04-18 2020-07-01 聯華電子股份有限公司 檢測鰭片移除之方法
CN111293039A (zh) * 2020-04-01 2020-06-16 上海华虹宏力半导体制造有限公司 自对准双重图形化半导体器件的形成方法
CN112331611B (zh) * 2020-11-30 2023-07-07 上海华力微电子有限公司 一种浅沟槽隔离的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020068447A1 (en) * 2000-12-04 2002-06-06 Moon Hong-Bae Method of forming a pattern for a semiconductor device
US20070238053A1 (en) * 2006-04-11 2007-10-11 Koji Hashimoto Manufacturing method of semiconductor device
CN101271826A (zh) * 2007-03-23 2008-09-24 海力士半导体有限公司 在半导体器件中形成微图案的方法

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63124419A (ja) 1986-11-14 1988-05-27 Toshiba Corp ドライエツチング方法
IT1225636B (it) * 1988-12-15 1990-11-22 Sgs Thomson Microelectronics Metodo di scavo con profilo di fondo arrotondato per strutture di isolamento incassate nel silicio
US6531730B2 (en) 1993-08-10 2003-03-11 Micron Technology, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US5468578A (en) 1994-09-26 1995-11-21 Micron Technology, Inc. Method of making masks for phase shifting lithography to avoid phase conflicts
JP2000019709A (ja) 1998-07-03 2000-01-21 Hitachi Ltd 半導体装置及びパターン形成方法
US6268260B1 (en) 1999-03-31 2001-07-31 Lam Research Corporation Methods of forming memory cell capacitor plates in memory cell capacitor structures
US20030042223A1 (en) 2001-01-31 2003-03-06 Koichi Toyosaki Etch mask
US6777307B1 (en) 2001-12-04 2004-08-17 Cypress Semiconductor Corp. Method of forming semiconductor structures with reduced step heights
KR100454131B1 (ko) 2002-06-05 2004-10-26 삼성전자주식회사 라인형 패턴을 갖는 반도체 소자 및 그 레이아웃 방법
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
KR100517560B1 (ko) 2003-07-14 2005-09-28 삼성전자주식회사 선택트랜지스터를 갖는 이이피롬 소자 및 그 제조방법
KR100519170B1 (ko) 2004-07-13 2005-10-05 주식회사 하이닉스반도체 반도체 소자의 패시베이션막 형성방법 및 반도체 소자의패시베이션막 구조
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100718216B1 (ko) 2004-12-13 2007-05-15 가부시끼가이샤 도시바 반도체 장치, 패턴 레이아웃 작성 방법, 노광 마스크
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP5204370B2 (ja) 2005-03-17 2013-06-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR20060113162A (ko) * 2005-04-29 2006-11-02 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR20060135126A (ko) 2005-06-24 2006-12-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US7560388B2 (en) 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7998874B2 (en) * 2006-03-06 2011-08-16 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
JP4271243B2 (ja) * 2006-04-11 2009-06-03 株式会社東芝 集積回路パターンの形成方法
JP4171032B2 (ja) 2006-06-16 2008-10-22 株式会社東芝 半導体装置及びその製造方法
JP4909735B2 (ja) 2006-06-27 2012-04-04 株式会社東芝 不揮発性半導体メモリ
JP4996155B2 (ja) * 2006-07-18 2012-08-08 株式会社東芝 半導体装置及びその製造方法
JP5132098B2 (ja) * 2006-07-18 2013-01-30 株式会社東芝 半導体装置
KR100855845B1 (ko) 2006-09-12 2008-09-01 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
KR100833438B1 (ko) 2006-09-13 2008-05-29 주식회사 하이닉스반도체 비휘발성 메모리 소자의 제조 방법
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
JP2008108788A (ja) * 2006-10-23 2008-05-08 Toshiba Corp 半導体装置の製造方法
KR100861173B1 (ko) 2006-12-01 2008-09-30 주식회사 하이닉스반도체 액침 노광 공정을 이용한 반도체 소자의 패턴 형성 방법
KR100827526B1 (ko) 2006-12-28 2008-05-06 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US7649779B2 (en) 2007-05-15 2010-01-19 Qimonda Ag Integrated circuits; methods for manufacturing an integrated circuit; memory modules; computing systems
US7794614B2 (en) 2007-05-29 2010-09-14 Qimonda Ag Methods for generating sublithographic structures
JP2009016444A (ja) 2007-07-02 2009-01-22 Toshiba Corp 半導体メモリ
KR101192359B1 (ko) 2007-12-17 2012-10-18 삼성전자주식회사 Nand 플래시 메모리 소자 및 그 제조 방법
US20090288867A1 (en) 2008-04-15 2009-11-26 Powerchip Semiconductor Corp. Circuit structure and photomask for defining the same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
KR101540083B1 (ko) * 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR101535227B1 (ko) 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8125056B2 (en) 2009-09-23 2012-02-28 Vishay General Semiconductor, Llc Double trench rectifier
KR101742176B1 (ko) 2011-01-31 2017-05-31 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101927924B1 (ko) 2011-10-28 2018-12-12 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 패턴 형성방법
KR20130117410A (ko) 2012-04-17 2013-10-28 에스케이하이닉스 주식회사 반도체 장치 및 이의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020068447A1 (en) * 2000-12-04 2002-06-06 Moon Hong-Bae Method of forming a pattern for a semiconductor device
US20070238053A1 (en) * 2006-04-11 2007-10-11 Koji Hashimoto Manufacturing method of semiconductor device
CN101271826A (zh) * 2007-03-23 2008-09-24 海力士半导体有限公司 在半导体器件中形成微图案的方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102299137A (zh) * 2010-06-28 2011-12-28 三星电子株式会社 半导体器件和制造该器件的方法
CN102299137B (zh) * 2010-06-28 2016-07-06 三星电子株式会社 半导体器件和制造该器件的方法
CN102522370A (zh) * 2011-12-22 2012-06-27 上海宏力半导体制造有限公司 接触孔的形成方法
CN102522371A (zh) * 2011-12-22 2012-06-27 上海宏力半导体制造有限公司 接触孔的制作方法
CN102522370B (zh) * 2011-12-22 2015-12-02 上海华虹宏力半导体制造有限公司 接触孔的形成方法
CN102522371B (zh) * 2011-12-22 2016-06-08 上海华虹宏力半导体制造有限公司 接触孔的制作方法
CN104752169A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 掩膜图形的形成方法
CN104752169B (zh) * 2013-12-30 2018-12-21 中芯国际集成电路制造(上海)有限公司 掩膜图形的形成方法
CN104952782A (zh) * 2014-03-25 2015-09-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104952782B (zh) * 2014-03-25 2018-12-21 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN108369899A (zh) * 2015-11-20 2018-08-03 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
CN108369899B (zh) * 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
CN107104043A (zh) * 2016-02-22 2017-08-29 爱思开海力士有限公司 图案形成方法以及使用其的半导体器件制造方法
CN107104043B (zh) * 2016-02-22 2021-02-05 爱思开海力士有限公司 图案形成方法以及使用其的半导体器件制造方法
CN107424925A (zh) * 2016-04-07 2017-12-01 格罗方德半导体公司 增加硬掩模线宽度的氧化填充材料线
CN109216167A (zh) * 2017-07-04 2019-01-15 联华电子股份有限公司 图案化方法
CN109216167B (zh) * 2017-07-04 2020-08-11 联华电子股份有限公司 图案化方法
CN110828460A (zh) * 2018-08-14 2020-02-21 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
CN111029249A (zh) * 2018-10-09 2020-04-17 长鑫存储技术有限公司 通孔阵列的形成方法及半导体器件的形成方法
CN111029249B (zh) * 2018-10-09 2022-04-08 长鑫存储技术有限公司 通孔阵列的形成方法及半导体器件的形成方法

Also Published As

Publication number Publication date
US8216947B2 (en) 2012-07-10
US20120252185A1 (en) 2012-10-04
US20100096719A1 (en) 2010-04-22
KR101540083B1 (ko) 2015-07-30
JP2010103538A (ja) 2010-05-06
KR20100044541A (ko) 2010-04-30
CN101728332B (zh) 2014-06-04
US9117654B2 (en) 2015-08-25

Similar Documents

Publication Publication Date Title
CN101728332B (zh) 在集成电路器件中形成精细图案的方法
US8846541B2 (en) Methods of forming fine patterns in semiconductor devices
US9099470B2 (en) Method of forming patterns for semiconductor device
US8629052B2 (en) Methods of forming semiconductor devices having narrow conductive line patterns
CN101764130B (zh) 半导体器件及形成半导体器件的图案的方法
US8617998B2 (en) Method of forming a micro-pattern for semiconductor devices
CN101471232B (zh) 形成半导体器件图案的方法
US20070004141A1 (en) Method of manufacturing flash memory device
US8709937B2 (en) Method of forming micropattern, method of forming damascene metallization, and semiconductor device and semiconductor memory device fabricated using the same
JP5345774B2 (ja) 微細コンタクトホールを有する半導体素子の製造方法
KR100843713B1 (ko) 미세 콘택홀을 갖는 반도체소자의 제조방법
US20180269214A1 (en) Three-dimensional semiconductor device and method of manufacturing the same
US7727899B2 (en) Manufacturing method of semiconductor device and semiconductor storage device including fine contact holes
KR100641361B1 (ko) 로드 저항체를 갖는 반도체 장치 및 그 제조방법
US20240074183A1 (en) Memory Circuitry And Method Used In Forming Memory Circuitry
US20230072315A1 (en) Fabrication of close-pitch interconnects
US7790619B2 (en) Method for fabricating semiconductor device having narrow channel
US20090047764A1 (en) Non-volatile memory and manufacturing method thereof
US20100019303A1 (en) Method for forming conductive pattern, semiconductor device using the same and method for fabricating semiconductor device using the same
JP2011044531A (ja) 半導体記憶装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant