CN101702886B - 利用粘着底漆层的压印光刻法 - Google Patents

利用粘着底漆层的压印光刻法 Download PDF

Info

Publication number
CN101702886B
CN101702886B CN2008800115510A CN200880011551A CN101702886B CN 101702886 B CN101702886 B CN 101702886B CN 2008800115510 A CN2008800115510 A CN 2008800115510A CN 200880011551 A CN200880011551 A CN 200880011551A CN 101702886 B CN101702886 B CN 101702886B
Authority
CN
China
Prior art keywords
substrate
component
primer layer
composition
adhesion primer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800115510A
Other languages
English (en)
Other versions
CN101702886A (zh
Inventor
F·Y·徐
S·V·斯利尼瓦森
E·B·弗莱彻
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN101702886A publication Critical patent/CN101702886A/zh
Application granted granted Critical
Publication of CN101702886B publication Critical patent/CN101702886B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L59/00Compositions of polyacetals; Compositions of derivatives of polyacetals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • Y10T428/31692Next to addition polymer from unsaturated monomers

Abstract

本发明为压印光刻法提供施加粘着底漆层的方法,该方法包括在一种涂布法中使流体与基片表面接触和引发在该流体中的组分与基片的表面之间形成共价键的化学反应以便使粘着底漆层附着于基片的表面。聚合层可以附着于被粘着底漆层覆盖的基片的表面。该方法可为包括有图案的磁介质的双面压印应用涂布粘着底漆层。

Description

利用粘着底漆层的压印光刻法
技术领域
本发明提供为压印光刻过程施加粘着底漆层的方法。该方法可为包括有图案的磁性介质的双面压印应用涂布粘着底漆。
背景技术
纳米制造包括制造例如具有相当于纳米级或更小的特征的极小结构。纳米制造具有相当大影响的一个领域是集成电路加工。由于半导体加工工业继续争取更大的生产量同时增加基片上每单位面积中形成的电路,纳米制造变得越来越重要。纳米制造提供更强大的工艺控制,同时允许更多地减小形成的结构的最小特征尺寸。采用纳米制造的其它发展领域包括生物技术、光学技术、机械系统等。
一个示例性的纳米制造技术通常称为压印光刻。许多出版物中详细描述了示例性的压印光刻法,诸如Sreenivasan等人的题为“在基材上设置特征,从而复制具有极小尺寸变化的特征的方法和模具(Method and a Mold to ArrangeFeatures on a Substrate to Replicate Features having Minimal DimensionalVariability)”的美国专利申请公开第20040065976号;Sreenivasan等人的题为“在基材上形成层从而促进计量标准制造的方法(Method of Forming a Layer on aSubstrate to Facilitate Fabrication of Metrology Standards)”的美国专利申请公开第20040065252号;Watts的题为“用于压印光刻工艺的功能图案化材料(Functional Patterning Material for Imprint Lithography Processes)”的美国专利第6,936,194号,所有这些专利文献都通过引用结合于此。
上述各美国专利申请公开和美国专利中公开的基础压印光刻技术包括在聚合性层上形成浮雕图案和将与该浮雕图案对应的图案转移到下层基片上。基片可以位于一个运动的平台上以便达到有利其形成图案所需位置。采用与基片间隔的模板,在模板与基片之间存在可成形的液体。液体固化形成固化层,其中记录着符合与液体接触的模板表面形状的图案。然后,模板与固化层分离以便模板与基片隔开。然后,基片和固化层经过处理以便将与固化层中的图案对应的浮雕像转移到基片中。
参考图1,压印光刻的基本概念是在基片上形成浮雕图案,该浮雕图案可以用作尤其是蚀刻掩模以便在基片中形成与浮雕图案对应的图案。用于形成浮雕图案的系统10包括支托基片12的平台11,具有模具16的模板14,模具16上具有图案面18。图案面18可以基本上光滑和/或平坦,或者可以有图案以便在其中形成一个或多个凹槽。模板14与压印头20相联以便促进模板14的运动。流体分配系统22被连接以便选择性地位于与基片12流体连通的位置,以便使聚合性材料24沉积在基片12上。能量28的来源26被连接以便沿路径30引导能量28。压印头20和平台11构建成分别使模具16和基片12重叠排列并且处于路径30中。压印头20或平台11或它们两者可改变模具16与基片12之间的距离以便确定所需的其间被聚合性材料24填允的体积。
通常,在确定模具16与基片12之间的所需体积之前将聚合性材料24施加在基片12上。然而,也可以在获得所需体积后用聚合性材料24填充该体积。用聚合性材料24填充所需体积后,能量源26产生能量28,这造成聚合性材料24固化和/或交联,形成符合基片表面25形状和模具表面18形状的聚合材料。该过程的控制受处理器32的调节,处理器32与平台11、压印头20、流体分配系统22、能量源26数据通信,通过储存在存储器34中的计算机可读程序进行操作。
有关准确地将图案转移到聚合性材料中的一个标准是减少(如果不能防止)固化层粘着到模板上,同时确保适当地与基片粘合。这称为优先释放和粘结性能。通过优先释放和粘结,固化层中记录的图案不会在模板分离过程中变形。
一种促进固化层与基片粘合的方法是引入粘着底漆层(或底漆层)。粘着底漆层需要较好地粘附于固化层和基片。目前,粘着底漆层通过旋涂法来施加。在涂布一面后,轻弹晶片,被涂布的面物理接触旋转涂布设备的一部份以便涂布第二面。旋转涂布设备与被涂布的面之间的物理接触会导致粘着底漆层的颗粒污染。而且,利用旋涂法施涂粘着底漆层可能限制该工艺的总生产量。
发明内容
本发明涉及利用粘着底漆层的压印光刻法。通常,在一个方面,本发明的特征是包括以下步骤的方法:(a)在涂布法中使流体与基片的一个表面接触,其中,该流体的一个组分在该组分的第一端具有第一官能团,在该组分的第二端具有第二官能团;(b)引发在所述组分的第一端和基片表面之间形成第一共价键的第一化学反应,使得粘着底漆层附着于基片的表面;和(c)用压印光刻法使聚合层与基片的表面粘合。所述粘合过程包括:(i)使聚合性材料沉积在基片表面上的粘着底漆层上,(ii)引发聚合性材料的聚合以便形成聚合层,和(iii)引发粘着底漆层中所述组分的第二官能团与聚合性材料之间的第二化学反应以便使聚合层附着于基片的表面。
本发明的实施可以包括一种或多种以下特征。一些实施方式包括在涂布过程中基本上同时使流体与基片的所述表面以及基片的第二表面接触,和使粘着底漆层与基片的所述表面粘合以及第二粘着底漆层与基片的第二表面粘合基本上同时发生。一些实施方式还可以包括使用压印光刻法使第二聚合层与基片的第二表面粘合,其中,所述粘合过程包括:(a)使第二聚合性材料沉积在基片第二表面上的第二粘着底漆层上,(b)引发第二聚合性材料的聚合以便形成第二聚合层,和(c)引发第二粘着底漆层中所述组分的第二官能团与第二聚合性材料之间的第二化学反应以便使第二聚合层附着于基片的第二表面。基片的所述表面和基片的第二表面可以基本上平行。
所述组分可以包括位于组分第一端的第一官能团。第一官能团可以是离去基团。在一些实施方式中,流体是蒸气,涂布过程是化学气相沉积法。蒸气可以由液体形成。在一些实施方式中,流体是液体,涂布过程是浸涂法。流体可以包括其它组分,方法可以包括使组分与所述其它组分共聚。在一些实施方式中,引发第一化学反应的操作包括加热。引发第二化学反应的操作可以包括使聚合性材料曝露于紫外辐射中。
在一些实施方式中,基片包括硅。基片可以选自硅、氧化硅、氮化硅、钽、铝、石英和熔凝硅石。基片可以包括磁介质。
在另一个方面,本发明的特征是包括以下步骤的方法:使基片与多官能组分接触,使该多官能组分的第一端的四价原子与基片共价结合以便形成粘着底漆层;在压印光刻过程中使该多官能组分的第二端与聚合性材料共价结合。多官能组分包括第一端、第二端和介于第一端与第二端之间的连接基团。第一端包括四价原子,连接基团是最多含三个碳原子的烃基。
本发明的实施可以包括一种或多种以下特征。多官能组分可以具有最高约350℃的沸点和/或最高约100cP的粘度。连接基团可以是-CH2-。四价原子可以是硅。
多官能组分可以是丙烯酰氧甲基三甲氧基硅烷、丙烯酰氧甲基三乙氧基硅烷、丙烯酰氧丙基三氯硅烷和/或丙烯酰氧丙基三甲氧基硅烷。在一些实施方式中,基片可以与一种或多种其它组分接触。其它组分可以是1,2-双(三甲氧基-甲硅烷基)乙烷和/或1,6-双(三氯甲硅烷基)己烷。基片可以包括硅。在一些实施方式中,基片选自硅、氧化硅、氮化硅、钽、铝、石英和熔凝硅石。
在另一些实施方式中,来自特定实施方式的特征可以与来自其它实施方式的特征组合。例如,来自一个实施方式的特征可以与来自任何其它实施方式的特征组合。在另一些实施方式中,本文所述的特定实施方式可以添加附加特征。
附图简述
图1是根据现有技术的光刻系统的简化平面图;
图2是根据本发明的模板和施加在基片上的压印材料的简化垂直切面图;
图3是图2中所示模板和基片的简化垂直切面图,其中显示压印材料在层上形成图案并且固化;
图4是模板接触压印材料的剖视图,证明在固化的压印材料与模板之间形成弱的边界薄层;
图5是图2中所示压印材料液滴的详细视图,显示液滴分离成富含表面活性剂的区和缺少表面活性剂的区;
图6是采用旋涂技术沉积的压印材料层的详细视图,显示该层分成富含表面活性剂的区和缺少表面活性剂的区;
图7是模板接触压印材料的剖视图,该压印材料如图5或图6中所示地沉积,形成于包括底漆层的基片上;
图8是可以用于形成粘着底漆层的组分的化学结构的平面图;
图9是可以用于形成粘着底漆层的另一种组分的化学结构的平面图;
图10是可以用于形成粘着底漆层的另一种组分的化学结构的平面图;
图11是可以用于形成粘着底漆层的另一种组分的化学结构的平面图;和
图12是可以用于形成粘着底漆层的另一种组分的化学结构的平面图。
发明详述
参考图1和2,可以在系统10中采用根据本发明的模具36,模具36可以确定具有基本上光滑的或平坦的轮廓的表面(未显示)。或者,模具36可以包括由多个间隔的凹槽38和凸起40确定的特征。该多个特征确定的起始图形成基片42上将形成的图案的基础。基片42可以包括裸露的晶片或具有沉积在其上的一层或多个层的晶片,其中一个层显示为底漆层45。为此,模具36与基片42之间的距离”d”缩小。通过这种方式,模具36上的特征可以压印到基片42的一致的区域,诸如施加在一部分表面44上的压印材料或聚合性材料,该表面呈现基本上平坦的轮廓。应该理解,可以使用任何已知的技术例如旋涂法、浸涂法等使压印材料沉积。然而,在目前这个例子中,压印材料作为多个间隔的离散液滴46沉积在基片42上。压印材料由可以选择性地聚合和交联的组合物形成以便将初始图案记录其中,限定出记录的图案。
具体地,记录在压印材料中的图案的产生一部分是通过与模具36的相互作用,例如电相互作用、磁相互作用、热相互作用、机械相互作用等。在目前的例子中,模具36与压印材料机械接触,使液滴36铺展以便在表面44上产生连续的压印材料形成物50(聚合层)。在一个实施方式中,距离”d”缩小以便使压印材料的亚部分52进入并填充凹槽38。为了促进填充凹槽38,在模具36与液滴46接触之前,模具36与液滴46之间的空气用氦气使之饱和、或者完全抽空、或者是部分抽空的氦气气氛。
压印材料具有需要的性质以便完全填充凹槽38,同时压印材料的连续形成物覆盖表面44。在目前的实施方式中,与凸起40重叠的压印材料的亚部分54在所需的通常最小的距离”d”达到后保持不变。这种作用提供形成物50,亚部分52具有厚度t1、亚部分54具有厚度t2。厚度″t1”和″t2″根据应用可以是任何所需的厚度。之后,形成物50通过曝露于适当的固化剂(根据压印材料)例如能量诸如电磁辐射、热能等而发生固化。这造成压印材料聚合和交联。整个过程可以在环境温度和压力下发生,或在具有所需温度和压力的环境受控的小室中发生。通过这种方式,形成物50被固化以便在其一侧56提供与模具36的表面58的形状一致的形状。
参考图1、2和3,压印材料的性质对于根据采用的独特的图案形成法有效地在基片42上形成图案十分重要。例如,我们希望压印材料具有某些性质以便帮助快速地均匀地填充模具36的特征,使得所有的厚度t1基本上一致,所有的厚度t2基本上一致。为此,希望根据采用的沉积方法确定压印材料的粘度以便获得上述的性质。如上所述,可以采用各种技术使压印材料沉积在基片42上。如果压印材料作为多个离散的间隔的液滴沉积,那么希望形成压印材料的组合物具有较低的粘度,例如0.5-20厘泊(cP)。考虑到压印材料同时被铺展和形成图案,并且随后图案通过曝露于辐射而发生固化,所以希望组合物湿润基片42的表面和/或模具36并且避免在聚合后出现针孔或洞。如果采用旋涂技术使材料沉积,那么希望使用更高粘度的材料,例如具有大于10cP、通常数百至数千cP的粘度,粘度测量在无溶剂条件下进行。
除了上述被称为液相特征的性质,希望组合物提供具有某些固相特征的压印材料。例如,在形成物50固化后,希望压印材料显示出优先粘结和释放性能。具体地,形成压印材料的组合物有利地制造成可提供对基片42具有优先粘结、对模具36优先释放的形成物50。通过这种方式减小由于模具36与图案分离造成的被记录图案变形的可能性,特别是由于形成物50的撕裂、拉伸或其它结构退化造成的变形。
形成提供上述性质的压印材料的组合物的组成成分可以改变。这是由于基片42可由许多不同的材料形成。因此,表面44的化学组成根据形成基片42的材料而变化。例如,基片42可以由硅、塑料、砷化镓、碲化汞和它们的复合物形成。如上所述,基片42可以包括显示为底漆层45的一个或多个层,例如介电层、半导体层、平坦化层等,在该层上产生形成物50。为此,采用合适的技术诸如化学气相沉积、旋涂等使底漆层45沉积在晶片47上。而且,底漆层45可以由任何合适的材料形成,诸如硅、锗等。而且,模具36可以由几种材料形成,诸如,熔凝硅石、石英、铟锡氧化物类金刚石碳、MoSi、溶胶-凝胶等。
已经发现,产生形成物50的组合物可以由几个不同种类的基体材料制成。例如,该组合物可以由乙烯醚、甲基丙烯酸酯、环氧树脂、硫醇-烯(thiolene)和丙烯酸酯等制造。
形成形成物50的一种示例性基体材料如下:
主体压印材料
异冰片基丙烯酸酯
丙烯酸正己酯
二丙烯酸乙二醇酯
2-羟基-2-甲基-1-苯基-丙-1-酮。
丙烯酸酯组分-异冰片基丙烯酸酯(IBOA)-具有以下结构:
Figure G2008800115510D00071
并且占基体材料的大约47重量%,但是可以以20-80%(包括端点)的量存在。因此,形成物50的机械性质主要归因于IBOA。IBOA的一个示例性来源是美国宾夕法尼亚州埃克斯顿市(Exton,Pennsylvania)的沙多玛有限公司(Sartomer Company,Inc.),以产品名称SR 506存在。
组分丙烯酸正己酯(n-HA)具有以下结构:
Figure G2008800115510D00072
并且占基体材料的大约25重量%,但是可以以0-50%(包括端点)的量存在。n-HA为形成物50提供柔韧性,用于减小现有技术基体材料的粘度以使得液相的基体材料具有2-9厘泊(包括端点)的粘度。n-HA组分的一个示例性来源是美国威斯康星州密尔沃基市(Milwaukee,Wisconsin)的阿尔德瑞切化学公司(Aldrich Chemical Company)。
交联组分-二丙烯酸乙二醇酯-具有以下结构:
Figure G2008800115510D00081
并且占据基体材料的大约25重量%,但是可以以10-50%(包括端点)的量存在。EGDA也有助于模数和刚性的增加,以及促进基体材料聚合过程中n-HA和IBOA的交联。
引发剂组分,2-羟基-2-甲基-1-苯基-丙-1-酮可得自美国纽约州塔里顿市(Tarrytown,New York)的汽巴精化(Ciba Specialty of Tarrytown),其商品名为
Figure G2008800115510D00082
1173,具有以下结构:
Figure G2008800115510D00083
并且占据基体材料的大约3重量%,但是可以以1-5%(包括端点)的量存在。引发剂易感应的电磁辐射是由中压汞灯产生的宽谱带紫外线能量。通过这种方式,引发剂促进基体材料的组分的交联和聚合。
在Xu等人的题为″用来减少相应区域与模具之间的粘着性的组合物(Composition to Reduce Adhesion Between a Conformable Region and a Mold)″的同时特审的美国专利申请公开第20060111454号(该文献通过引用结合于此)中已经公开,通过在模具36、表面58和形成物50之间产生弱边界层——薄层60,如图3和4中所示,可以获得如上所述的希望的优先粘结和释放性能。薄层60在压印材料固化后仍然保持不变。因此,模具36和形成物50之间的粘着力是最小的。为此,已发现采用包含一种上述成分诸如主体压印材料的压印材料组合物以及含有低表面能基团的组分是有利的,该含有低表面能基团的组分在美国专利申请公开第20060111454号中被称为表面活性剂组分并且有完整说明。
参考图5,在压印材料沉积后,表面活性剂组分在一段时间后上升至空气液体界面,使压印材料液滴146具有分成两部分的材料浓度。在第一部分,液滴146包含更高浓度的表面活性剂组分,称为表面活性剂组分富集(SCR)亚部分136,第二部分称为表面活性剂组分缺少(SCD)亚部分137。SCD亚部分137位于表面44与SCR亚部分136之间。SCR亚部分136在压印材料固化后可削弱模具36与压印材料之间的粘着力。具体地,表面活性剂组分具有相反的端部。当压印材料处于液相时,即为聚合性的,相反的端部中的一个对压印材料中包含的基体材料具有亲和性。另一个端部具有氟组分。
参考图4和5,由于对基体材料的亲和性,表面活性剂组分的定向使得氟组分从由压印材料与周围环境确定的空气-液体界面伸展出来。
压印材料固化后,压印材料的第一部分产生薄层60,压印材料的第二部分被固化,即显示为形成物50的聚合材料。薄层60位于形成物50与模具36之间。薄层60由SCR亚部分136中氟组分的存在和位置造成。薄层60防止在模具36和形成物50之间产生强粘着力。具体地,形成物50具有第一和第二相反侧62和64。侧62以第一粘着力附着于模具36。侧64以第二粘着力附着于基片42。薄层60导致第一粘着力小于第二粘着力。因此,可以容易地从形成物50上除去模具36,同时最大程度减少变形和/或最大程度减小分离模具36与形成物50所需的力。虽然形成物50显示其侧62具有图案,但是应该理解,侧62可以是光滑的或平坦的。
而且,如果需要,可以形成设置在形成物50与基片42之间的薄层60。这可以通过例如将压印材料施加到模具36上、随后使基片42与模具上的压印材料接触来实现。通过这种方式,可以说,形成物50将被安置在薄层60和主体例如模具36或基片42之间,所述主体上沉积着聚合性材料。应该理解,如果采用旋涂技术使压印材料沉积,那么会出现类似的分成两部分的材料浓度,如图6中关于SCR亚部分236和第二的SCD亚部分237所示的。分离所需的时间取决于几个因素,包括组合物中分子的大小和组合物的粘度。仅需要几秒钟,粘度低于20cP的组合物就可实现上述分离。然而,粘度为数百cP的材料可能需要几秒钟至几分钟。
但是,已经发现薄层60可能不是均匀的。薄层60的一些区域比另一些更薄,在一些极端的情况下,在极小百分率的模板表面上,薄层60可能不存在,使得模板36与形成物50接触。由于更薄的薄层60区域的存在和薄层60的缺乏,可能发生形成物50的变形和与基片42的分层。具体地,分离模具36时,形成物50受到分离力Fs。分离力Fs由模具36上的拉力FP和形成物50与模具36之间的粘着力例如范德华力(薄层60能减小此力)形成。由于薄层60的存在,分离力Fs的等级通常小于形成物50与基片42之间的粘着力FA的等级。然而,伴随薄层60的减少和缺乏,局部分离力Fs可能达到局部粘着力FA的等级。局部力指薄层60的给定区域中存在的力,在该例子中指接近薄层60的薄的区域的或薄层60基本上不存在的区域中的局部力。这导致形成物50的变形和/或与基片42分层。
参考图7,当存在底漆层45时,由于存在两个界面66和68而产生更复杂的情况。在第一界面66处,第一粘着力F1存在于底漆层45与形成物50之间。在第二界面68处,第二粘着力F2存在于底漆层45与晶片47之间。希望分离力Fs的等级小于粘着力F1和F2中任意一个。然而,由于如上所述的薄层60的厚度变化或缺少薄层,分离力Fs的等级可能类似于或接近粘着力F1和/或F2。这可能导致形成物50与底漆层45的分层、底漆层45与晶片47的分层、或两者同时发生。
通过由某种材料形成底漆层45,本发明减小(如果不是避免)上述的分层问题。考虑到薄层的波动,所述材料能增加第一界面和第二界面的第一粘着力F1和第二粘着力F2大于分离力Fs的可能性。为此,底漆层45由能够在界面66(即底漆层45和形成物50之间)处以及界面66与底漆层45与晶片47之间形成强键的组合物形成。该组合物可以包含种或多种组分。在一些实施方式中,该组分包含一种组分和可用于例如水解反应中的来自大气的湿气(H2O)。在目前的例子中,底漆层45与形成物50之间的第一界面66处的粘着力由共价键引起,即形成底漆层45的组合物与形成形成物50的组合物之间存在共价键。底漆层45与晶片47之间的粘着力可以通过任意一种机制来获得。这些机制可以包括形成底漆层45的组合物和形成晶片47的材料之间形成的共价键。作为共价键的替代或者附加方式,在形成底漆层45的组合物和形成晶片47的材料之间可以形成离子键。作为共价键和/或离子键或两者的组合的替代或者附加方式,形成底漆层45的组合物和形成晶片47的材料之间可以获得vis-
Figure G2008800115510D00101
-vis范德华力。
这可以通过形成粘着底漆层45来实现,形成该底漆层的组合物包含一种或多种通常由下式表示的组分:
Figure G2008800115510D00111
用于粘着底漆层的组合物可以包含组分I、II或III或它们的组合。组分I、II和III包含第一端部、第二端部和连接基团(R,R′,R″)。例如,在组分I和II中,第一端部被认为包括YXnZ3-n,而组分III的第一端部被认为包括W。类似地,在组分I和III中,第二端部被认为包括X′。
在以上的组分I和III中,X′是官能团,它可以在活化(例如紫外辐射)过程中与压印单体(聚合性材料)共价键合。对于丙烯酸酯基压印单体,X′可以是例如丙烯酸基或甲基丙烯酸基。
在以上的组分I、II和III中,Y是四价原子,包括但不限于Si,X是允许Y-X键被水解成Y-OH的官能团(例如离去基团)。羟基随后可以与压印基材反应以便与该基材形成共价键。在Y是Si的实施方式中,X可以是例如-OCH3、-OCH2CH3、-Cl、-OC(=O)CH3等。在组分I、II和III中,n和m为1-3(包括端点)。更多数量的离去基团(例如n=3和/或m=3)允许与基材(例如基材的表面)和/或粘着底漆层中的其它分子的多次键合,增加粘着底漆层与基材表面的粘合强度。
在以上的组分I、II和III中,Z通常是非活性基团,它满足Y的四价需求。在一些实施方式中,Z可以是例如甲基。
在以上的组分I、II和III中,W是可与压印基材发生反应或不发生反应的酸性官能团。在一些实施方式中,W是例如羧酸或磷酸等。
在以上的组分I、II和III中,R、R′和R″是具有不同长度的连接基团。典型的接头是以烃为基础。连接基团可以包括例如1-10个或更多碳原子(烷基、烯键式不饱和基、芳基)。可以针对各种因素,包括但不限于连接基团的长度、刚性和/或键合强度来选择连接基团。
在以上的组分I和II中,选择X以便实现组分I和II的一个端部(例如第一端部)与形成基片42的材料的交叉反应,以便通过与基片表面形成共价键、离子键和/或范德华力而附着于基片表面。这一点可以直接地或间接地来完成。即,如果X是离去基团,那么X不直接与基片的表面发生反应。在该实施方式中,涉及X离去的化学反应使Y发生化学反应以便形成与基片表面的共价键。
在一些实施方式中,官能团X可以参与粘着底漆层45的交联和聚合反应。X官能团可以促进响应活化能的聚合和交联作用,该活化能与用来引发X′官能团交叉反应的活化能不同。X官能团可以促进底漆层45中的分子在受到加热作用下发生的交联。可以选择官能团X以便促进与基片42的交叉反应,其机制包括但不限于:1)与形成基片42的材料直接反应;2)与交联剂分子反应,该交联剂分子的连接官能团与基片42发生反应;3)底漆层45聚合和交联以便产生足够长度的分子链以便在形成物50(聚合层)和基片42的表面之间形成连接;4)X的离去使Y发生以上1-3的作用,它们使聚合层附着于基片的表面。
在以上组分I和III中,选择X’以便实现与形成形成物50(聚合层)的聚合性材料的交叉反应,以便在它们之间形成共价键。确定X’基团的官能度以便在形成物50的聚合过程中发生交叉反应。因此,官能团X’的选择取决于形成形成物50(聚合层)的聚合性材料的性质。在一些实施方式中,选择X’与形成形成物50的成分的官能团发生反应。例如,如果形成物50由丙烯酸酯单体形成,那么X’可以包括丙烯酸基、乙烯醚基和/或甲基丙烯酸基、和/或可以与形成物50中的丙烯酸基共聚的官能团。因此,X’官能团对活化作用,例如紫外辐射做出响应而发生交叉反应。
参考图7和8,当由基体材料形成的形成物50存在时,可以用于形成底漆层45的示例性的多官能反应性化合物A包括丙烯酰氧甲基三甲氧基硅烷(美国宾夕法尼亚州默瑞斯镇(Morristown)盖勒斯特有限公司(Gelest,Inc.))。丙烯酰氧甲基三甲氧基硅烷具有以下结构:
Figure G2008800115510D00121
X’官能团70提供用于与压印材料键合的丙烯酸官能度。X官能团72(n=3)是与Y(四价Si)结合的甲氧基离去基团。官能团70和72连接于骨干组分或连接基团74的相反端部。
丙烯酰氧基甲基三甲氧基硅烷的闪点约60℃、沸点约170℃,或比十三氟-1,1,2,2-四氢辛基三氯硅烷(FOTS)自组装单层(SAM)的沸点低约20℃,该FOTSSAM在本领域中已知是可蒸气沉积的。可能希望沸点保持较低,同时满足所有粘附要求,由此促进气相沉积过程。
如图8中所示,骨干组分74包括一个碳原子。短骨干组分可能更牢固地持有X和X’官能团,在模具分离过程中需要更多的能量来分离X’和X官能团。因此,更短的骨干组分比更长的骨干组分可以提供更强的粘着底漆层并因此在聚合的材料和基片之间提供更强的键。在一些实施方式中,丙烯酰氧基甲基三乙氧基硅烷可以用作多功能反应性化合物I。
参考图7和9,当由基体材料形成的形成物50存在时,可以用于形成底漆层45的另一种多官能反应性化合物I包括丙烯酰氧基丙基三氯硅烷(盖勒斯特有限公司(Gelest,Inc.))。它有以下结构:
Figure G2008800115510D00131
X’官能团76提供用于与压印材料键合的丙烯酸官能度。X官能团78(n=3)包括与Y(四价Si)结合的三个-Cl离去基团。官能团76和78连接于骨干组分80的相反端部。骨干组分80包括三个碳原子。
参考图7和10,当由基体材料形成的形成物50存在时,可以用于形成底漆层45的另一种多官能反应性化合物I包括丙烯酰氧基丙基三甲氧基硅烷(阿尔德瑞确(Aldrich);美国威斯康星州密耳沃基(Milwaukee))。其具有以下结构:
Figure G2008800115510D00132
X’官能团82提供用于与压印材料键合的丙烯酸官能度。X官能团84(n=3)包括与Y(四价Si)结合的三个甲氧基离去基团。官能团82和84连接于骨干组分86的相反端部。骨干组分86包括三个碳原子。
参考图7和11,当由基体材料形成的形成物50存在时,可以用于形成底漆层45的一种多官能反应性化合物II包括1,2-双(三甲氧基甲硅烷基)乙烷(Aldrich),其具有以下结构:
Figure G2008800115510D00133
X官能团88(m=3)和90(n=3)包括与Y(四价Si)结合的三个甲氧基离去基团。官能团88和90连接于骨干组分94的相反端部。骨干组分94包括两个碳原子。
参考图7和12,当由基体材料形成的形成物50存在时,可以用于形成底漆层45的一种多官能反应性化合物II包括1,6-双(三氯甲硅烷基)己烷(Aldrich),其具有以下结构:
Figure G2008800115510D00141
X官能团94(m=3)和96(n=3)包括与Y(四价Si)结合的三个-Cl离去基团。官能团94和96连接于骨干组分98的相反端部。骨干组分98包括六个碳原子。
在一些实施方式中,III可以是例如丙烯酸(Aldrich),其具有以下结构:
在一个实施方式中,流体态的组分I、II和/或III在涂布过程中与基片接触以便使粘着底漆层附着于基片上。涂布法可以包括本领域中已知的浸涂法或化学气相沉积法。粘着底漆层可以包含例如I(但不含II和III);III(但不含I和II);I和II(但不含III);I和III(但不含II);或I、II和III。当II与I一起使用时,II中的X官能度使II作为用于I和II共聚的增链剂。
在一个实施方式中,粘着底漆层的组分与基片的表面接触。引发底漆层组合物与基片之间的化学反应可以使组分I和/或组分II的第一端部和/或组分III的W官能团与基片键合(例如通过共价键、离子键或通过范德华力)。在某些实施方式中,例如当底漆层组分包含丙烯酰氧基甲基三甲氧基硅烷或丙烯酰氧基丙基三甲氧基硅烷时,组分相互(以及与基片)键合以便在基片表面上形成网络化的聚合物涂层。在底漆层组分包含I和II的实施方式中,I和II的共聚合可以增强网络化的聚合物涂层。
化学反应的引发可以通过例如加热底漆层组合物和/或基片来实现。在一些实施方式中,引发底漆层组合物与基片之间(例如除去离去基团X后的组分I和/或组分II的第一端部和/或组分III的第一端部(W)与基片表面之间)的化学反应的方法不会影响底漆层组合物的组分的X’官能度。例如,加热底漆层组合物可以造成组分I、II或III的第一端部与基片表面直接地或间接地通过官能团键合,不会造成组分I或III的第二端部的反应(即不会改变X’官能度)。
在一些实施方式中,X’官能度在压印光刻法的一个步骤中发生反应。例如,X’官能度可以在紫外线曝露或辐射压印材料过程中发生反应,使得底漆层组合物的组分的X’在聚合过程中发生反应而与聚合性压印材料中的单体形成共价键,以便促进聚合层(由聚合性材料形成)和基片之间的牢固粘合。
粘着底漆层的气相淀积可以通过本领域中已知的任何化学气相沉积法来完成。例如,气相淀积可以在真空烘箱或用与半导体制造工艺兼容的履带式工具来完成。在一些实施方式中,在气相淀积过程中通过加热使粘着底漆层组合物蒸发。在另一些实施方式中,在气相淀积过程中通过加热和减压的组合使粘着底漆层组合物蒸发。而在其它实施方式中,通过用载气诸如氮气或氩气使组合物起泡来使粘着底漆层组合物蒸发。粘着底漆层组合物在环境压力下的沸点可能是例如低于约350℃。在一些实施方式中,粘着底漆层组合物的沸点可以是低于约250℃。在减压环境中或伴随气体鼓泡技术,沉积温度可以低于粘着底漆层组合物的沸点。
在一些实施方式中,粘着底漆层可以通过化学气相沉积附着于基片的一个表面。在其它实施方式中,粘着底漆层可以通过化学气相沉积同时附着于基片的两个或多个表面。基片可以位于例如升降针上以便不用翻转基片或改变基片的第一表面的涂层和第二表面的位置就能使蒸气基本上同时接触基片的两个或多个表面。因此,粘着底漆层的气相淀积允许批处理基片,由此减少传统上用于沉积底漆层的晶片到晶片旋涂法所需的处理时间。
而且,粘着底漆层的气相淀积使基片的两个或多个表面涂布粘着底漆层,但是没有在旋涂过程中基片与旋涂装置(例如旋压车床用夹头)的物理接触过程中造成的颗粒污染。粘着底漆层可以气相淀积在基本上平行的两个基片表面上。因此,粘着底漆层的气相淀积将有助于双面介质,包括磁性介质的制造。双面介质的例子可以包括例如压缩盘、数字视频光盘和硬盘。
可以针对以下因素选择粘着底漆层组合物的组分,包括但不限于官能度(多官能的或单官能的)、连接基团长度、连接基团刚度、连接键合强度、pH、交联密度、反应性、保存期限和/或稳定性、和沸点。粘着层的强度受到连接基团的性质(例如连接基团中的最弱的键)的限制。因此,可以选择组分具有短的连接链、X与X’官能度之间具有强的键合。在一些实施方式中,强连接键(例如一些芳族基)可以导致高沸点,这增加气相沉积的难度。
我们已进行实验以便评估各种粘着底漆层组合物在多种基片,包括SixNy、SiO2和Si上的粘接性能。针对各种浸涂工艺和旋涂法评估以下所述的粘着底漆层组合物的粘接性能,比较这些组合物的基片粘接性。
组合物1是丙烯酰氧基甲基三甲氧基硅烷(图8中所示)在异丙醇中的0.1%溶液。该组合物通过浸涂法施加。
组合物2是丙烯酰氧基丙基三甲氧基硅烷(APTMS)(图10中所示)在异丙醇中的0.1%溶液。该组合物通过浸涂法施加。
组合物3是DUV30J-16,一种底部减反射性涂料(BARC)(布鲁尔科技(Brewer Science);美国密苏里州罗拉市(Rolla))。DUV30J-16含有酚醛树脂,其交联剂能与羧基官能团反应。相信DUV30J-16不会与形成物50形成共价键。
组合物4包含约77克IsoRad 501(可从美国纽约州斯克内克塔迪(Schenectady)的斯克内克塔迪国际有限公司购头)、22克Cymel 303ULF(氰特工业公司(Cytec Industries,Inc.);美国新泽西州西帕特森市(West Patterson,NJ))和一克Cycat 4040(氰特工业公司)。混合IsoRad 501、Cymel 303ULF和Cycat。然后将IsoRad 501、Cymel 303ULF和Cycat的组合引入约1900克PM乙酸酯中。PM乙酸酯是由2-(1-甲氧基)丙基乙酸酯组成的溶剂的商品名(伊士曼化工公司;美国田纳西州金斯波特市(Kingsport))。
约1mm厚、75×25mm水平尺寸的玻璃载片用组合物1-2浸涂以便产生界面66和68的粘合力强度比较数据。在沉积底漆层45和形成物50之前,清洁玻璃载片。具体地,使各玻璃载片曝露于皮兰哈(Piranha)溶液中(H2SO4∶H2O2=2∶1,以体积计)。随后,用去离子水冲洗玻璃载片、喷洒异丙醇、并且曝露于流体流例如氮气流中以进行干燥。之后,使玻璃载片在120℃时烘烤2小时。
采用本领域中已知的旋涂技术使组合物1-2沉积在玻璃载片上。采用本领域中已知的旋涂技术使组合物3-4沉积在玻璃载片上。对于组合物1和2,在80℃的电炉上使底漆层45在玻璃载片上干燥10分钟。对于组合物3,在180℃的电炉上使底漆层45在玻璃载片上干燥2分钟。对于组合物4,在150℃的电炉上使底漆层45在玻璃载片上干燥5分钟。换句话说,通过曝露于热能使浸涂的和旋涂的组合物1-4固化,即聚合和交联。在底漆层干燥后,将主体压印材料的液滴施加在第一玻璃载片涂布侧的中心。
然后,通过使两个玻璃载片上的底漆层面对面并且接触主体压印材料,使主体压印材料夹在底漆层45中间。通常,一个玻璃载片的长轴与另一个玻璃载片的长轴正交以便形成”T”形,重叠面积约是25×25mm。通过使用强度为20mW/cm2的中压汞紫外灯辐照40秒使两个玻璃载片曝露于光化能,例如宽带紫外线波长,使主体压印材料固化,即聚合和交联。
为了测量粘合强度,采用一个四点折弯夹具用于粘合试验和技术,类似于″在压印技术种模具合可光致固化的树脂之间的粘着力的测量(Measurement ofAdhesive Force Between Mold and Photocurable Resin in Imprint Technology)″,Japanese Journal of Applied Physics,第41卷(2002)第4194-4197页中所述的。将最大的力/负荷作为粘着力值。在一个玻璃载片在分层发生之前或玻璃载片分离之前破碎的情况下,该最大力/负荷作为最小粘力值。顶部与底部两点的正横距离是60mm。以0.5mm/min的速度施加负荷。
使用上述的四点弯曲粘合试验,组合物2和组合物3证明具有类似的约4lbf的粘力值。在相同的试验中,组合物1和4在测得真正的粘力之前导致玻璃载片破碎。测得破碎时的粘力(最小粘力)是约12lbf。因此,在类似的条件下,组合物1和4显示它们的粘力比组合物2和3的粘力至少强3倍。
在初步的浸涂试验之后,通过鼓泡器和加热法气相淀积丙烯酰氧基甲基三甲氧基硅烷(来自组合物1)。比较气相淀积的丙烯酰氧基甲基三甲氧基硅烷的粘接性能与旋涂的组合物4的粘接性能。该论证利用日立全球存储技术(HitachiGlobal Storage Technologies(美国加利福尼亚州圣何塞(San Jose,CA)))提供的具有5nm表面SixNy涂层的65mm玻璃盘。直接将该载体用作基片,无需预清洁。
通过蒸发法,将65mm基片放置在一层铝滑片上,该铝滑片部分被该基片覆盖,铝滑片位于电炉上。使电炉保持在90℃。将3μL丙烯酰氧基甲基三甲氧基硅烷施加在未被覆盖的铝滑片部分上。将一个盖子覆盖在基片和铝滑片上以便保留热产生的蒸气。被保留的蒸气沉积在基片上。1分钟后除去基片,在操作粘合试验之前使其曝露于环境条件下20分钟。还以类似的方式在5mm直径的玻璃棒上涂布丙烯酰氧基甲基三甲氧基硅烷。
在鼓泡气相法中,通过位于8mL小瓶中的1mL丙烯酰氧基甲基三甲氧基硅烷使氮气冒泡。将具有蒸气输送线的盖子放置在基片上,使基片曝露于蒸气中15-60秒。然后,在进行粘合试验之前使基片曝露于环境条件下少于10分钟。以类似的方式使5mm直径的玻璃棒也涂布丙烯酰氧基甲基三甲氧基硅烷。
作为比较,将组合物3旋涂在基片上,在进行粘合试验之前使其曝露于环境条件下20分钟。用组合物3刷涂5mm直径的玻璃棒。
作为比较,将组合物4旋涂在基片上,在进行粘合试验之前使其曝露于环境条件下20分钟。用组合物4刷涂5mm直径的玻璃棒。
通过将一滴主体压印材料放置在涂布的基片表面上、使涂布的玻璃棒位于基片上以便使玻璃棒基本上与基片的侧面垂直来实施粘合试验。用紫外辐射使压印材料固化。当玻璃棒固定在基片上时,进行玻璃棒的剪切试验以便评估玻璃棒对基片的粘着力。即,将粘着力记为从基片上剪切玻璃棒所需的力。
对于组合物1,剪切试验得到约35lbf的剪切力(蒸气法为约37lbf、鼓泡法为约33lbf);对于旋涂的组合物3,剪切试验得到约10lbf的剪切力。虽然组合物1的剪切力是组合物3的剪切力的约3.5倍,但是,当从剪切力中扣除摩擦力后,组合物1的剥离形式的真正粘力是组合物3的3.5倍以上。
对旋涂的组合物4,剪切试验得到约30-40lbf的剪切力。
上述本发明的实施方式是示例性的。对于上述的公开可以作出许多改变和修改,但它们仍属于本发明的范围。因此,本发明的范围不应该受到以上说明的限制,而是应该根据所附权利要求书及其的等同物的完整范围来确定。

Claims (14)

1.一种利用粘着底漆层的压印光刻方法,包括以下步骤:
(a)使基片与多官能组分接触,其中
(i)所述多官能组分包括第一端部、第二端部以及介于所述第一端部与第二端部之间的连接基团,其中
(ii)所述第一端部包含四价原子,其中
(iii)所述连接基团是最多具有三个碳原子的烃基;
(b)使该多官能组分的第一端部的四价原子与基片共价结合以形成粘着底漆层;
(c)在压印光刻过程中使该多官能组分的第二端部与聚合性材料共价结合。
2.如权利要求1所述的方法,其特征在于,所述多官能组分具有最高350℃的沸点。
3.如权利要求1所述的方法,其特征在于,所述多官能组分具有最大100cP的粘度。
4.如权利要求1所述的方法,其特征在于,所述连接基团是-CH2-。
5.如权利要求1所述的方法,其特征在于,所述四价原子是硅。
6.如权利要求1所述的方法,其特征在于,所述多官能组分是丙烯酰氧基甲基三甲氧基硅烷。
7.如权利要求1所述的方法,其特征在于,所述多官能组分是丙烯酰氧基甲基三乙氧基硅烷。
8.如权利要求1所述的方法,其特征在于,所述多官能组分是丙烯酰氧基丙基三氯硅烷。
9.如权利要求1所述的方法,其特征在于,所述多官能组分是丙烯酰氧基丙基三甲氧基硅烷。
10.如权利要求1所述的方法,还包括使所述基片与一种或多种其它组分接触。
11.如权利要求10所述的方法,其特征在于,一种所述其它组分是1,2-双(三甲氧基甲硅烷基)乙烷。
12.如权利要求10所述的方法,其特征在于,一种所述其它组分是1,6-双(三氯甲硅烷基)己烷。
13.如权利要求1所述的方法,其特征在于,所述基片包括硅。
14.如权利要求1所述的方法,其特征在于,所述基片选自硅、氧化硅、氮化硅、钽、铝和熔凝硅石。
CN2008800115510A 2007-04-12 2008-03-19 利用粘着底漆层的压印光刻法 Active CN101702886B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/734,542 US8808808B2 (en) 2005-07-22 2007-04-12 Method for imprint lithography utilizing an adhesion primer layer
US11/734,542 2007-04-12
PCT/US2008/057518 WO2008127835A1 (en) 2007-04-12 2008-03-19 Method for imprint lithography utilizing an adhesion primer layer

Publications (2)

Publication Number Publication Date
CN101702886A CN101702886A (zh) 2010-05-05
CN101702886B true CN101702886B (zh) 2013-07-10

Family

ID=39864653

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800115510A Active CN101702886B (zh) 2007-04-12 2008-03-19 利用粘着底漆层的压印光刻法

Country Status (8)

Country Link
US (1) US8808808B2 (zh)
EP (1) EP2136933A4 (zh)
JP (1) JP5399374B2 (zh)
KR (1) KR101536217B1 (zh)
CN (1) CN101702886B (zh)
MY (1) MY153677A (zh)
TW (1) TWI432892B (zh)
WO (1) WO2008127835A1 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US8294025B2 (en) 2002-06-08 2012-10-23 Solarity, Llc Lateral collection photovoltaics
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
US20110030770A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
JP5583374B2 (ja) * 2009-09-07 2014-09-03 株式会社島津製作所 光硬化樹脂の特性試験装置、その試験装置で使用する保持具、特性試験方法
WO2011066450A2 (en) 2009-11-24 2011-06-03 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithography
KR20130105648A (ko) * 2010-09-08 2013-09-25 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피에 사용하는 증기 전달 시스템
JP5218521B2 (ja) * 2010-10-21 2013-06-26 大日本印刷株式会社 インプリント方法とこれに用いる転写基材および密着剤
WO2012061816A2 (en) 2010-11-05 2012-05-10 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
JP2012183753A (ja) * 2011-03-07 2012-09-27 Fujifilm Corp ナノインプリント方法
WO2013048577A1 (en) * 2011-09-26 2013-04-04 Solarity, Inc. Substrate and superstrate design and process for nano-imprinting lithography of light and carrier collection management devices
JP5767615B2 (ja) 2011-10-07 2015-08-19 富士フイルム株式会社 インプリント用下層膜組成物およびこれを用いたパターン形成方法
WO2013051735A1 (en) * 2011-10-07 2013-04-11 Fujifilm Corporation Underlay film composition for imprints and method of forming pattern and pattern formation method using the same
US9147423B2 (en) * 2012-04-17 2015-09-29 HGST Netherlands B.V. Method for improving a patterned perpendicular magnetic recording disk with annealing
JP5899145B2 (ja) * 2012-06-18 2016-04-06 富士フイルム株式会社 インプリント用下層膜形成組成物およびパターン形成方法
JP2015210834A (ja) * 2014-04-25 2015-11-24 株式会社東芝 パターン形成方法、及び磁気記録媒体の製造方法
SG11201700091UA (en) * 2014-07-08 2017-02-27 Canon Kk Adhesion layer composition, method for forming film by nanoimprinting, methods for manufacturing optical component, circuit board and electronic apparatus
KR102370616B1 (ko) 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US10156786B2 (en) 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
KR101720895B1 (ko) 2016-01-20 2017-03-29 경희대학교 산학협력단 나노임프린팅 방법
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10754244B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10829644B2 (en) 2016-03-31 2020-11-10 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10883006B2 (en) 2016-03-31 2021-01-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
KR102607657B1 (ko) 2016-06-07 2023-11-28 티씨엘 차이나 스타 옵토일렉트로닉스 테크놀로지 컴퍼니 리미티드 미세패턴 형성 방법
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
JP7112220B2 (ja) * 2017-05-12 2022-08-03 キヤノン株式会社 方法、装置、システム、および物品の製造方法
US11520226B2 (en) 2017-05-12 2022-12-06 Canon Kabushiki Kaisha Imprint method, imprint apparatus, imprint system, and method of manufacturing article
KR102605655B1 (ko) * 2017-06-16 2023-11-23 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 다층 구조물
WO2019172156A1 (ja) * 2018-03-07 2019-09-12 富士フイルム株式会社 インプリント用下層膜形成組成物、インプリント用硬化性組成物、キット
TWI771575B (zh) * 2018-03-27 2022-07-21 日商富士軟片股份有限公司 壓印用下層膜形成組成物、套組、壓印用硬化性組成物、積層體、積層體的製造方法、圖案形成方法及半導體器件的製造方法
US10780682B2 (en) 2018-12-20 2020-09-22 Canon Kabushiki Kaisha Liquid adhesion composition, multi-layer structure and method of making said structure
EP3929658A1 (en) * 2020-06-23 2021-12-29 Koninklijke Philips N.V. Imprinting method and patterned layer

Family Cites Families (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3498827A (en) * 1966-02-02 1970-03-03 Exxon Research Engineering Co Abrasion resistant metal articles
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US4251277A (en) 1978-04-24 1981-02-17 Sws Silicones Corporation Compositions containing thiofunctional polysiloxanes
DE3023201A1 (de) 1980-06-21 1982-01-07 Hoechst Ag, 6000 Frankfurt Positiv arbeitendes strahlungsempfindliches gemisch
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
DE3583707D1 (de) 1984-06-26 1991-09-12 Asahi Glass Co Ltd Durchsichtiger schwer schmutzender gegenstand mit niedriger reflexion.
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5028511A (en) 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5149592A (en) 1990-05-09 1992-09-22 Avery Dennison Corporation Ultraviolet radiation curable clearcoat
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US6174931B1 (en) 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
JPH04330650A (ja) * 1991-05-01 1992-11-18 Dainippon Ink & Chem Inc 光ディスク用基板の製造方法
DE69217574T2 (de) 1991-05-17 1997-06-12 Asahi Glass Co Ltd Oberflächenbehandeltes Substrat
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5458953A (en) 1991-09-12 1995-10-17 Mannington Mills, Inc. Resilient floor covering and method of making same
DE4228853C2 (de) 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
FR2693727B1 (fr) 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5298556A (en) 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
GB9220986D0 (en) 1992-10-06 1992-11-18 Ciba Geigy Ag Chemical composition
DE4234423C2 (de) * 1992-10-13 1996-10-10 Inst Mikrotechnik Mainz Gmbh Mit einem Resist beschichtete Metall- oder Halbleitersubstrate und Verfahren zur Erzielung einer stabilen Resist-Substrat-Haftung
US5432700A (en) 1992-12-21 1995-07-11 Ford Motor Company Adaptive active vehicle suspension system
US5368942A (en) 1993-01-15 1994-11-29 The United States Of America As Represented By The Secreatary Of Commerce Method of adhering substrates
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5482768A (en) 1993-05-14 1996-01-09 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5594042A (en) 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5462700A (en) 1993-11-08 1995-10-31 Alliedsignal Inc. Process for making an array of tapered photopolymerized waveguides
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5578683A (en) 1994-06-27 1996-11-26 Avery Dennison Corporation Crosslinkable graft pressure-sensitive adhesives
FR2721939B1 (fr) 1994-06-30 1997-01-03 Atochem Elf Sa Materieau d'emballage comprenant une couche d'oxyde de silicum et une couche de polyolefine
US5459198A (en) 1994-07-29 1995-10-17 E. I. Du Pont De Nemours And Company Fluoroinfused composites, articles of manufacture formed therefrom, and processes for the preparation thereof
JP3278306B2 (ja) 1994-10-31 2002-04-30 富士写真フイルム株式会社 ポジ型フォトレジスト組成物
US5550196A (en) 1994-11-09 1996-08-27 Shell Oil Company Low viscosity adhesive compositions containing asymmetric radial polymers
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
WO1997007429A1 (en) 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5942302A (en) 1996-02-23 1999-08-24 Imation Corp. Polymer layer for optical media
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
WO1997035906A1 (en) 1996-03-27 1997-10-02 Novartis Ag High water content porous polymer
CN1214708A (zh) 1996-03-27 1999-04-21 诺瓦提斯公司 使用成孔材料制造多孔聚合物的方法
WO1997036210A1 (en) 1996-03-28 1997-10-02 Minnesota Mining And Manufacturing Company Perfluoroether release coatings for organic photoreceptors
CA2248162A1 (en) 1996-04-04 1997-10-02 Novartis Ag Process for manufacture of a porous polymer from a mixture
JP3715021B2 (ja) 1996-04-09 2005-11-09 Jsr株式会社 液状硬化性樹脂組成物
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6204343B1 (en) 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6667082B2 (en) 1997-01-21 2003-12-23 Cryovac, Inc. Additive transfer film suitable for cook-in end use
US6156389A (en) 1997-02-03 2000-12-05 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6495624B1 (en) 1997-02-03 2002-12-17 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US6174932B1 (en) 1998-05-20 2001-01-16 Denovus Llc Curable sealant composition
US6132632A (en) 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
IL133421A0 (en) 1998-04-15 2001-04-30 Etec Systems Inc Photoresist developer and method of development
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
KR100273172B1 (ko) 1998-08-01 2001-03-02 윤덕용 아크릴 측쇄에 디옥사스피로환기 유도체를 갖는 화합물을 이용한 포토레지스트
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
TWI230712B (en) 1998-09-15 2005-04-11 Novartis Ag Polymers
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6617011B2 (en) 1999-05-07 2003-09-09 Seagate Technology Llc Elastomeric lubricants for magnetic recording media
DE60001457T2 (de) 1999-06-11 2003-09-11 Bausch & Lomb Linsenformwerkzeuge mit schutzschicht zur herstellung von kontaktlinsen und intraokularlinsen
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6723396B1 (en) 1999-08-17 2004-04-20 Western Washington University Liquid crystal imprinting
WO2001018305A1 (en) 1999-09-10 2001-03-15 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
ATE294648T1 (de) 1999-12-23 2005-05-15 Univ Massachusetts Verfahren zur herstellung von submikron mustern auf filmen
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
DE10008109A1 (de) 2000-02-22 2001-08-23 Krauss Maffei Kunststofftech Verfahren und Vorrichtung zum Herstellen einer DVD
KR20010098809A (ko) 2000-04-25 2001-11-08 마쯔모또 에이찌 El 표시 소자의 격벽 형성용 감방사선성 수지 조성물,격벽 및 el 표시 소자
US6774183B1 (en) 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
EP2264523A3 (en) 2000-07-16 2011-11-30 Board Of Regents, The University Of Texas System A method of forming a pattern on a substrate in imprint lithographic processes
JP4740518B2 (ja) 2000-07-17 2011-08-03 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
JP2002060529A (ja) 2000-08-22 2002-02-26 Fuji Photo Film Co Ltd 高密着ハードコートフィルム
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
AU2001297642A1 (en) 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6503914B1 (en) 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
KR20020047490A (ko) 2000-12-13 2002-06-22 윤종용 실리콘을 함유하는 감광성 폴리머 및 이를 포함하는레지스트 조성물
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
WO2002059167A1 (fr) 2001-01-25 2002-08-01 Sekisui Chemical Co., Ltd. Polyvinyle acetale, composition de polyvinyle acetale, encre, materiau de revetement, dispersant, materiau photosensible a developpement par la chaleur, feuille ceramique verte, amorce pour lentille plastique, agent d'enregistrement pour encre a base d'eau, et adhesif pour feuille metallique
JP4176998B2 (ja) 2001-01-25 2008-11-05 積水化学工業株式会社 熱現像性感光材料、セラミックグリーンシート用スラリー及びセラミックグリーンシート
DE10103586A1 (de) 2001-01-26 2002-08-01 Roland Goebel Primer zur Bildung einer haftfesten und feuchtestabilen Legierungs-Kunststoff-Verbundschicht und Verfahren zu seiner Herstellung
KR100970661B1 (ko) 2001-02-27 2010-07-15 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 신규 폴리머, 폴리머 합성 방법 및 포토레지스트 조성물
US20020123592A1 (en) 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
KR100442859B1 (ko) 2001-04-04 2004-08-02 삼성전자주식회사 실리콘을 함유하는 알킬 비닐 에테르의 중합체로이루어지는 감광성 폴리머 및 이를 포함하는 레지스트조성물
US7332266B2 (en) 2001-04-10 2008-02-19 Nissan Chemical Industries, Ltd. Composition for forming anti-reflective coating for use in lithography
US7011932B2 (en) 2001-05-01 2006-03-14 E. I. Du Pont De Nemours And Company Polymer waveguide fabrication process
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6737489B2 (en) 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6541356B2 (en) 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6736857B2 (en) 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US6610458B2 (en) 2001-07-23 2003-08-26 Kodak Polychrome Graphics Llc Method and system for direct-to-press imaging
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6721529B2 (en) 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
WO2003035932A1 (en) 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US6790905B2 (en) 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
JP2005516406A (ja) 2001-11-07 2005-06-02 ダウ グローバル テクノロジーズ インコーポレイティド 平坦化マイクロエレクトロニクス基板
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
KR100949343B1 (ko) 2002-02-19 2010-03-26 닛산 가가쿠 고교 가부시키 가이샤 반사방지막 형성 조성물
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
JP2004002702A (ja) 2002-02-28 2004-01-08 Merck Patent Gmbh プレポリマー材料、ポリマー材料、インプリンティングプロセスおよびその使用
EP1342736B1 (en) 2002-02-28 2013-05-08 Merck Patent GmbH Prepolymer material, polymer material, imprinting process and their Use
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
DE10217151A1 (de) 2002-04-17 2003-10-30 Clariant Gmbh Nanoimprint-Resist
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6720076B2 (en) 2002-05-31 2004-04-13 Omnova Solutions Inc. In-mold primer coating for thermoplastic substrates
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
JP2004051706A (ja) 2002-07-17 2004-02-19 Fuji Photo Film Co Ltd ハードコート処理物品とその製造方法
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
DE10237280A1 (de) 2002-08-14 2004-03-11 Micronas Holding Gmbh Verfahren zum Verbinden von Oberflächen, Halbleiter mit verbundenen Oberflächen sowie Bio-Chip und Bio-Sensor
US6808745B2 (en) 2002-08-22 2004-10-26 Eastman Kodak Company Method of coating micro-electromechanical devices
WO2004021083A1 (en) 2002-08-27 2004-03-11 Obducat Ab Device for transferring a pattern to an object
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
CN1726431A (zh) 2002-10-21 2006-01-25 纳米墨水公司 纳米级设计结构、其制造方法及设备以及在掩模修复、增强和制造上的应用
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7241823B2 (en) 2002-12-11 2007-07-10 Shin-Etsu Chemical Co., Ltd. Radiation curing silicone rubber composition, adhesive silicone elastomer film formed from same, semiconductor device using same, and method of producing semiconductor device
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
JP4651390B2 (ja) 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20060108710A1 (en) 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
JP2005014348A (ja) 2003-06-25 2005-01-20 Fuji Photo Film Co Ltd 平版印刷版原版及び平版印刷方法
US20050084804A1 (en) 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US6958531B2 (en) 2003-11-14 2005-10-25 The Regents Of The University Of Michigan Multi-substrate package and method for assembling same
EP1533657B1 (en) * 2003-11-21 2011-03-09 Obducat AB Multilayer nano imprint lithography
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7229732B2 (en) 2004-08-04 2007-06-12 Xerox Corporation Imaging members with crosslinked polycarbonate in charge transport layer
JP4130668B2 (ja) 2004-08-05 2008-08-06 富士通株式会社 基体の加工方法
SG119379A1 (en) 2004-08-06 2006-02-28 Nippon Catalytic Chem Ind Resin composition method of its composition and cured formulation
US7309225B2 (en) 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7252862B2 (en) 2004-08-30 2007-08-07 Hewlett-Packard Development Company, L.P. Increasing adhesion in an imprinting procedure
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7163888B2 (en) 2004-11-22 2007-01-16 Motorola, Inc. Direct imprinting of etch barriers using step and flash imprint lithography
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
JP2006236474A (ja) 2005-02-24 2006-09-07 Tdk Corp 磁気記録媒体及び磁気記録再生装置
US20070059211A1 (en) 2005-03-11 2007-03-15 The College Of Wooster TNT sensor containing molecularly imprinted sol gel-derived films
JP2006277868A (ja) 2005-03-30 2006-10-12 Toshiba Corp ディスクリートトラック媒体およびその製造方法
WO2006129375A1 (ja) 2005-06-03 2006-12-07 Ataru Okumura 投薬支援プログラム、投薬支援装置、投薬支援プログラムを記録した記録媒体及び投薬支援システム
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20070042173A1 (en) 2005-08-22 2007-02-22 Fuji Photo Film Co., Ltd. Antireflection film, manufacturing method thereof, and polarizing plate using the same, and image display device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7419611B2 (en) 2005-09-02 2008-09-02 International Business Machines Corporation Processes and materials for step and flash imprint lithography
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US20080110557A1 (en) 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
US8337959B2 (en) 2006-11-28 2012-12-25 Nanonex Corporation Method and apparatus to apply surface release coating for imprint mold
US9323143B2 (en) 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361546B2 (en) 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开平4-330650A 1992.11.18

Also Published As

Publication number Publication date
WO2008127835A1 (en) 2008-10-23
TWI432892B (zh) 2014-04-01
EP2136933A4 (en) 2011-01-26
JP5399374B2 (ja) 2014-01-29
EP2136933A1 (en) 2009-12-30
TW200903146A (en) 2009-01-16
JP2010526426A (ja) 2010-07-29
CN101702886A (zh) 2010-05-05
KR20090128473A (ko) 2009-12-15
MY153677A (en) 2015-03-13
US8808808B2 (en) 2014-08-19
US20070212494A1 (en) 2007-09-13
KR101536217B1 (ko) 2015-07-14

Similar Documents

Publication Publication Date Title
CN101702886B (zh) 利用粘着底漆层的压印光刻法
JP5084728B2 (ja) 材料を相互に接着するための方法及び組成物
CN101228013B (zh) 用来将材料粘合在一起的方法和组合物
KR101610185B1 (ko) 초박형 중합체 접착 층
TWI324622B (en) Materials for imprint lithography
TW443966B (en) Modulation of coating patterns in fluid carrier coating processes
US20050156357A1 (en) Planarization method of patterning a substrate
US20030235787A1 (en) Low viscosity high resolution patterning material
TW200531150A (en) Materials and methods for imprint lithography
WO2005118160A2 (en) Droplet dispensing in imprint lithography
JP2002539604A (ja) 段付き鋳張り捺印式リソグラフィー
TWI392577B (zh) 經蝕刻之多層堆疊物中減少殘質形成的技術
WO2018051961A1 (ja) パターン形成方法および半導体素子の製造方法
CN102983065A (zh) 图案、掩模图案形成方法和半导体器件制造方法
KR102168772B1 (ko) 임프린트용 프라이머층 형성용 조성물, 임프린트용 프라이머층 및 적층체
JP5218521B2 (ja) インプリント方法とこれに用いる転写基材および密着剤
WO2011066450A2 (en) Adhesion layers in nanoimprint lithography
KR20050035134A (ko) 비점착성 몰드를 이용한 패턴 구조의 재현
US6780233B1 (en) Wettability improvement of spun-on resist and thermoplastic materials
CN1914265B (zh) 用于刻印平板印刷术的材料
TWI314151B (zh)
TWI495951B (zh) 超薄聚合性黏著層

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant