CN101535021A - 用于衬底双面图案形成的方法和系统 - Google Patents

用于衬底双面图案形成的方法和系统 Download PDF

Info

Publication number
CN101535021A
CN101535021A CNA2006800462748A CN200680046274A CN101535021A CN 101535021 A CN101535021 A CN 101535021A CN A2006800462748 A CNA2006800462748 A CN A2006800462748A CN 200680046274 A CN200680046274 A CN 200680046274A CN 101535021 A CN101535021 A CN 101535021A
Authority
CN
China
Prior art keywords
substrate
die assembly
pattern
described substrate
mould
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800462748A
Other languages
English (en)
Inventor
B-J·乔伊
S·V·斯利尼瓦森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN101535021A publication Critical patent/CN101535021A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Abstract

本发明涉及一种在衬底的第一和第二相反两面上形成图案的方法和系统。该方法和系统可以采用模具组件且在衬底的第一和第二相反两面与模具组件之间获得期望的空间关系。在另一实施方式中,该方法和系统可采用第一和第二模具组件。

Description

用于衬底双面图案形成的方法和系统
相关申请的交叉引用
本申请要求对2005年12月8日提交的题为“Apparatus for and Methods forImprinting,Aligning and Separation”(用于双面压印的压印、对准和分离的装置和方法)的美国临时申请No.60/748,430的优先权。
技术领域
本发明一般涉及结构的纳米制造,尤其涉及衬底的双面图案形成的方法和系统。
背景信息
纳米制造涉及极小结构,例如特征尺寸为纳米级或更小的结构的制造。其中纳米制造具有相当大的影响的一个领域是集成电路加工。随着半导体加工业界继续在增加衬底上形成的每单位面积的电路的同时争取更大的产品成品率,纳米制造变得日益重要。纳米制造提供更多的过程控制同时允许所形成结构的最小特征尺寸不断减小。其它已经使用纳米制造的发展领域包括生物技术、光学技术、机械系统等等。
示例性的纳米制造技术通常称为压印光刻。在很多公开文献中对示例性的压印光刻工艺进行了详细的描述,诸如提交为美国专利申请10/264,960的题为“Method and a Mold to Arrange Features on a substrate to ReplicateFeatures having Minimal Dimensional Variability”(在衬底上安排特征以复制具有最小尺寸可变性的特征的方法和模型)的美国专利申请公开2004/0065976;提交为美国专利申请10/264,926的题为“Method of Foring aLayer on a Substrate to Facilitate Fabrication of Metrology Standards”(在衬底上形成层以便于度量学标准的制作的方法)的美国专利申请公开2004/0065252;以及题为“Functional Patterning Material for ImprintLithography Processes”(用于压印光刻工艺的功能性图案形成材料),所有这些都被转让给了本发明的受让人。
在以上提及的美国专利申请公开和美国专利中的每一个中公开的压印光刻技术包括在可聚合层中形成凹凸图案,以及将对应于凹凸图案的图案转移到下面的衬底上。衬底可以被置于移动载物台上以获得期望的位置从而便于其图案形成。为此,采用与衬底间隔开的模板且在模板和衬底之间存在可成形液体。液体被凝固以形成其中记录有图案的凝固层,该图案符合与液体接触的模板的表面的形状。该模板然后与凝固层分离使得模板和衬底是间隔开的。衬底和凝固层然后进行加工以将对应于凝固层中图案的凹凸图案转移到衬底中。
在一些应用中,可能期望在衬底的第一和第二相反两面上形成凹凸图案。在衬底的第一和第二相反两面上形成图案,即双面图案形成,可能对图案形成媒介压印领域有益。所以,存在提供衬底的双面图案形成的方法和系统的需要。
附图简述
图1是具有与衬底间隔开的模板的光刻系统的简化侧视图,该衬底具有第一和第二相反两面;
图2是在图1中示出的模板的俯视图;
图3是在图1中示出的模板的侧视图;
图4是图2的一部分的分解图,该模板具有对准标记;
图5是图1示出的衬底和用于检测衬底的光学检测系统的侧视图;
图6是图1示出的衬底和用于检测衬底的光学检测系统的俯视图;
图7是操作图1所示衬底的机器人的俯视图;
图8是示出在第一实施方式中在图1示出的衬底的第一和第二相反两面形成图案的方法的流程图;
图9是图1示出的系统的侧视图,且机器人将衬底定位在衬底夹具上的第一位置;
图10是图9示出的系统的侧视图,且衬底使材料置于其第一面上;
图11是图10示出的系统的侧视图,且模板与置于衬底第一面上的液体接触;
图12是图11示出的系统的侧视图,且机器人将衬底置于衬底夹具上的第二位置;
图13是图12示出的系统的侧视图,且模板与置于衬底的第二面上的液体接触;
图14是在另一实施方式中具有与第二模板相反的第一模板和衬底的光刻系统的侧视图,且该衬底具有第一和第二相反两面;
图15是示出在又一实施方式中在图14中示出的衬底的第一和第二相反两面上形成图案的方法的流程图;
图16是图14示出的系统的侧视图,且机器人将衬底置于衬底夹具上的第一位置;
图17是图16示出的系统的侧视图,且衬底使材料置于其第一面上;
图18是图17示出的系统的侧视图,且第一模板与置于衬底的第一面上的液体接触;
图19是图18示出的系统的侧视图,且衬底与第一模板耦连且衬底使材料置于其第二面上;
图20是图19示出的系统的侧视图,且第二模板与置于衬底的第二面上的液体接触;
图21是图20示出的系统的侧视图,且第二模板与衬底间隔开;
图22是图21示出的系统的侧视图,且置于衬底夹具上的衬底使图案在其第一和第二面上形成;
图23是在又一实施方式中具有与第二模板相反的第一模板和衬底的光刻系统的侧视图,且该衬底具有第一和第二相反两面;
图24是示出在另一实施方式中在图23中示出的衬底的第一和第二相反两面上形成图案的方法的流程图;
图25是图23示出的系统的侧视图,且衬底使材料置于其第一和第二面上;
图26是图25示出的系统的侧视图,该衬底与销子具有期望的空间关系;
图27是图26示出的系统的侧视图,且衬底置于销子之上;
图28是图27示出的系统的侧视图,且第二模板与置于衬底的第二面上的液体接触;
图29是图28示出的系统的侧视图,且第一模板与置于衬底的第一面上的液体接触;
图30是图29示出的系统的侧视图,且第一模板与衬底间隔开;以及
图31是图30示出的系统的侧视图,且第一和第二模板与衬底间隔开。
详细描述
参考图1,示出在衬底16的第一面12和第二面14上形成凹凸图案的系统10。在一个示例中,衬底16可以基本不需要对准标记。衬底16可以与衬底夹具18耦连,且衬底夹具18是包括但不限于真空和电磁夹具的任何夹具。衬底夹具18可以进一步包括面对衬底16的空腔19。衬底16和衬底夹具18可被支承在第一载物台20和第二载物台22上,且第一载物台20位于衬底夹具18和第二载物台22之间。更进一步地,第一和第二载物台20和22可以位于基座23上。第一载物台20可以提供绕第一轴的运动而第二载物台22可以提供绕第二轴的运动,该第二轴与第一轴正交,即,第一和第二轴是x和y轴。本发明中的示例性载物台是来自加利福尼亚Irvine的纽波特公司的型号为XM2000的载物台。衬底16进一步包括通孔25,该通孔25在衬底16的第一面12附近具有孔27且在衬底16的第二面14附近具有孔29。然而,在另一实施方式中,衬底16可以基本是不需要通孔25。
与衬底16间隔开的是具有台面26的模板24,该台面26从那里向衬底16扩展且其上具有图案形成表面28。台面26也可被称为模具26。然而,在另一实施方式中,模板24可以基本不需要模具26。模板24和/或模具26可以由这些材料形成,这些材料包括但不限于熔融硅石、石英、硅、有机聚合物、硅氧烷聚合物、硼硅酸盐玻璃、氟烃聚合物、金属和硬化的蓝宝石。如图所示,图案形成表面28包括由许多间隔开的凹口30和凸起32限定的特征。然而,在另一实施方式中,图案形成表面28可以基本是平滑和/或平坦的。图案形成表面28可以定义形成要在衬底16的第一面12和第二面14上形成的图案的基础的原始图案,进一步如下所述。模板24可以与模板夹具34耦连,模板夹具34可以是任何包括但不限于真空和电磁夹具的夹具。更进一步地,模板夹具34可以与压印头36耦连以便于模板24和模具26的移动。
参考图2和3,示出模板24的俯视图。如图所示,模板24呈圆形。然而,在另一实施方式中,模板24可呈所需的任何形状。更进一步地,模板24可包括第一区38、第二区40、及第三区42,且第二区40位于第一区38和第三区40之间。第二区40可以称为有源区40。此外,如图所示,第三区42可位于模板24的中心。然而,在另一实施方式中,第三区42可位于模板24的任何期望位置。模具26,如图1所示,可与有源区40叠加。有源区40和第三区42可以具有高度h1。在一示例中,高度h1可以是在5-15微米范围内。在另一实施方式中,有源区40和第三区42的高度可以不同。此外,可能存在置于有源区40和第三区42之间的凹口44。
参考图2到图4,第三区42可以包括对准标记46。在一示例中,对准标记46可以是标准的通用对准目标(UAT)。可采用对准标记46来获得模板24与衬底16之间的期望空间关系,如图1所示。
参考图1,系统10进一步包括流体分配器48。流体分配器48可以与衬底16进行流体连通以便将聚合材料50置于衬底16上,更进一步如下所述。如图所示,流体分配器48与模板夹具34耦连;然而,在另一实施方式中,流体分配器48可以与系统10中的任一部分,即模板24或压印头36耦连。更进一步地,系统10可包括任何数目的流体分配器且流体分配器可在其中包括多个分配单元。聚合材料50可以使用任何已知技术,例如,液滴分散、旋涂、浸涂、薄膜沉积、厚膜沉积等技术沉积于衬底16上。如图所示,聚合材料50可作为多个间隔开的液滴置于衬底16上。
系统10进一步包括耦连的沿着路径58引导能量56的能量源54。在一示例中,源54可以是与液体波导或紫外线光纤波导耦连的紫外线发射灯。本发明中的一示例性能量源是来自康涅狄格州托灵顿的DYMAX公司的型号为BlueWaveTM 200的聚光灯。压印头36与第一和第二载物台20和22被配置成分别安排模具26和衬底16叠加且设置在通路58内。压印头36、第一和第二载物台20和22、或以上组合可改变模具26和衬底16之间的距离以限定它们之间的由聚合材料50填充的期望体积,进一步如下所述。
系统10进一步包括具有成像单元60a和60b的光学检测系统。如图所示,成像单元60a可以与流体分配器48耦连。然而,在另一实施方式中,成像单元60a可以与系统10的任一部分,即模板24、模板夹具34、或压印头36耦连。此外,如图所示,成像单元60b与第二载物台22耦连;然而,在另一实施方式中,成像单元60b可以与系统10的任一部分,即衬底夹具18或第一载物台20耦连。更进一步地,系统10可包括任何数量的成像单元60a和60b。成像单元60a和60b可以是与图象处理模块(未示出)数据通信的显微镜。在又一实施方式中,成像单元60a和60b可以是激光边缘检测传感器。
参考图1、5和6,可以分别采用成像单元60a和60b来检测衬底16和模块26。更具体地,成像单元可以检测衬底16的边缘62。在另一实施方式中,可以使用现在在图5和6中示为成像单元64a、64a′、64b和64b′的成像单元60a来确定衬底16的中心位置,即绕x和y轴的通孔25。更具体地,成像单元64a和64b可以分别是制造光束66a和66b的激光器,且成像单元64a′和64b′可以分别是检测光束66a和66b的强度传感器。如图所示,成像单元64a、64a′、64b、64b′可以检测孔25。成像单元64a和64b可以离轴或穿过模板采用。本发明中采用的示例性强度传感器是来自新泽西州WoodcliffLake的Keyence公司的型号为LV-H37的强度传感器。
参考图1和图7,系统10进一步包括用于将衬底16置于衬底夹具18上和将衬底16从衬底夹具18上去除的机器人68。机器人68可以是任何本领域已知的操作机器人。在一个示例中,机器人68包括与驱动装置72耦连的机械臂70。机械臂70进一步具有与其耦连的处理衬底16的端部操纵装置73。在一个示例中,端部操纵装置73可以是边缘握取夹具或薄的空腔夹具以夹持衬底16而不接触衬底16的聚合材料50置于其上的区域,即衬底16的有源区。驱动装置72可以伸展或收缩机械臂70,绕其轴旋转机械臂70,呈圆形地水平移动机械臂70,或提供机械臂70的任何期望运动。驱动装置72可以提供以上提及的绕第一和第二轴的运动。在一个示例中,驱动装置72可以绕x轴旋转以翻转衬底16,更进一步如下所述。驱动装置72还可以绕其自己的轴旋转。此外,机器人68可以在衬底夹具18与衬底盒74之间传送衬底16。衬底盒74可在其中包括多个衬底16。
参考图1,通常聚合材料50可以在期望体积在模具26和衬底16之间被限定之前被置于衬底16之上。然而,聚合材料50可以在已经获得期望的体积之后填充该体积。在期望体积用聚合材料50填充之后,源54可以产生能量56,例如,使聚合材料50遵照衬底16的第一面12的形状和模具26的图案形成表面28凝固和/或交联的宽带紫外辐射。此工艺的控制由处理器76调节,该处理器76根据存储于存储器78中的计算机可读程序上操作,与第一和第二载物台20和22、压印头36、流体分配器48、源54、成像单元60a和60b、以及机器人68进行数据通信。
如上所述,可采用系统10在衬底16的第一面12上形成图案。然而,可期望在衬底16的第二面14上形成图案,从而衬底16的第一和第二面12和14使图案在其上形成。为此,以下描述的是在衬底16的第一和第二面12和14上形成图案的系统和方法。
参考图8和图9,在第一实施方式中,示出在衬底16的第一和第二面12和14上形成图案的方法和系统。如上所述,在步骤100,衬底16可以置于衬底夹具18之上。更具体地,第一和第二载物台20和22可以靠近机器人68放置衬底夹具18,从而机器人68可以将衬底16置于衬底夹具18上。机器人68可以将衬底16从衬底盒74中转移且将衬底16置于衬底夹具18上,从而第一和第二面12和14中的一个可被放置成与衬底夹具18的一侧相反。在第一示例中,机器人68可以放置衬底16以使第一面12背对衬底夹具18而第二面14面向衬底夹具18。在第二示例中,机器人68可以放置衬底16以使第二面14背对衬底夹具18而第一面12面向衬底夹具18。在步骤102,成像单元60a可以确定衬底16的位置。更具体地,可采用成像单元60a来确定衬底16相关于系统10中的任一部分,即模具18、分配单元48、或机器人68的中心位置,如以上参考图5和图6所述。结果,可以获得衬底16相对参考系统10的任一部分的期望空间关系。
参考图8和图10,在步骤104,第一和第二载物台20和22可以转移衬底16,从而可以在衬底16和流体分配器48之间获得期望位置。结果,流体分配器48可以将聚合材料50置于衬底16的第一侧面12上,如上所述。
参考图8和11,在步骤106,在衬底16和模具26之间可以获得期望的位置。更具体地,第一和第二载物台20和22与压印头36可以定位衬底夹具18使得衬底16可以与模具26叠加,且更进一步使得聚合材料50填充在衬底16和模具26之间限定的期望体积。在步骤108,如上所述,置于衬底16的第一面12上的聚合材料50可以遵照衬底16的第一面12和模具26的图案形成表面28凝固和/或交联。在步骤110,模具18可以与位于衬底16的第一面12上的聚合材料50分离。
参考图8和12,在步骤112,类似于以上参考步骤100的所述内容,第一和第二载物台可以靠近机器人68放置衬底夹具18。在步骤114,机器人68可以将衬底16与衬底夹具18分离。在步骤116,可分析衬底16以确定衬底16的第一和第二面12和14是否形成图案。这样,在步骤118,如果衬底16的第一和第二面12和14中只有一个面形成图案,则机器人68可以绕其轴旋转机械臂70以将衬底16相对于模具18翻转180°,且更进一步将衬底16置于衬底夹具18上,从而衬底16的第一和第二面12和14的剩余的未形成图案的侧面可相反地置于衬底夹具18。在第一示例中,如果衬底16的第一面12形成图案,则机器人68将定位衬底16使第一面12面向衬底夹具18而第二面14背对衬底夹具18。在第二示例中,如果衬底16的第二面14形成图案,则机器人68将定位衬底16使第二面12面向衬底夹具18而第一面12背对衬底夹具18。此外,在衬底16的第一和第二面12和14上形成图案的聚合材料50可被置入衬底夹具18的空腔19内以最小化(如果不能防止)对聚合材料50的损坏。这样,衬底16的第一和第二面12和14的剩余一面可以类似于以上图8到图12中提及地形成图案,且衬底16使第一和第二面12和14如图13中示出地形成图案。
然而,参考图1和图8,如果衬底16的第一和第二面12和14都形成图案,则在步骤120,衬底16可以从衬底夹具上卸载且机器人68可以将衬底16置入衬底盒74中。在另一实施方式中,流体分配器48可以置于系统10之外,且衬底36的第一和第二面12和14使聚合物流体50置于系统10之外。此外,可期望从衬底16的与机器人68和/或衬底夹具18接触的一部分上除去聚合材料50。
参考图14,对系统10的第二实施方式进行描述,如系统110所示。系统110可以与以上参考图1到7所述的系统10类似,然而,系统110可进一步包括附加的图案形成表面,进一步如下所述。
这样,系统110进一步包括具有模具226的模板224,该模具226从其中向模板24延伸且其上有图案形成表面228。模板224可以与模板夹具234耦连。模板224、模具226、及模板夹具234可以分别与以上参考图1所述的模板24、模具26、及模板夹具34类似。模具226可以具有基本与模具26的图案形成表面28相同的图案形成表面228;然而,在另一实施方式中,图案形成表面228可以与图案形成表面28不同。模板224、模具226、及模板夹具234可以与第二载物台22耦连,且第二载物台22提供绕第二轴的模板224、模具226、及模板夹具234的运动,如以上参考图1所述。结果,模具226可被放置成与模具26叠加以便于衬底16的第一和第二面12和14的图案形成,进一步如下所述。在又一实施方式中,模板224、模具226、及模板夹具234可以进一步与第一载物台20耦连。
系统110进一步包括流体分配器248,且流体分配器248与以上参考图1所述的流体分配器48相似。如图所示,流体分配器248与模板夹具234耦连;然而,在另一实施方式中,流体分配器248可以与系统210的任一部分,即模板224或第二载物台22耦连。此外,成像单元60b被示出与流体分配器248耦连;然而,在另一实施方式中,成像单元60b可以与系统110的任一部分,即第二载物台22、模板224、或模板夹具234耦连。流体分配器248的控制可以由处理器76调节,该处理器76与流体分配器248进行数据通信。
参考图15和16,示出在衬底16的第一和第二面12和14上形成图案的方法和系统的第二实施方式。如上所述,在步骤300,衬底16可被置于衬底夹具18之上。更具体地,第一和第二载物台20和22可靠近机器人68放置衬底夹具18,从而机器人68可以将衬底16置于衬底夹具18之上。机器人68可以将衬底16从衬底盒74中移出且将衬底16置于衬底夹具18上,从而第一和第二面12和14中的一个面可置于夹具18相反的一侧。应该注意,为了简单地例示,未示出处理器76与第一载物台20、成像单元60b、及流体分配器248之间的耦连。
在步骤302,成像单元60a和60b可确定衬底16的位置。更具体地,可以采用成像单元60a和60b来确定衬底16相对于系统10的任一部分,即模具26和226、分配单元48和248、或机器人68的中心位置,如以上参考图5和图6所述。结果,可以获得衬底16相对于系统10的任一部分的期望空间关系,进一步如下所述。
参考图15和17,在步骤304,第一和第二载物台20和22可以转移衬底16,从而可以在衬底16和流体分配器48之间获得期望的位置。结果,流体分配器48可以将聚合材料50置于衬底16的第一面12上,如上所述。
参考图15和18,在步骤306,在衬底16和模具26之间可以获得期望的位置。更具体地,第一和第二载物台20和22和压印头36可以定位衬底夹具18,从而衬底16可与模具26叠加,且更进一步置于衬底16的第一面12上的聚合材料50填充在衬底16和模具26之间限定的期望体积。在步骤308,如上所述,置于衬底16的第一面12上的聚合材料50可以遵照衬底16的第一面12和模具26的图案形成表面28凝固和/或交联。在步骤310,衬底16可以与衬底夹具18分离使衬底16与模具26耦连。
参考图15和19,在步骤312,第一载物台20,或在另一实施方式中,第一和第二载物台20和22,可以平移流体分配器248从而可以在衬底16和流体分配器248之间获得期望位置。结果,流体分配器248可以将聚合材料50置于衬底16的第二面14上,与以上参考图17中示出的衬底16的第一面12所述的类似。
参考图15和20,在步骤314,在衬底16和模具226之间可以获得期望的位置。更具体地,第二载物台22,或在另一实施方式中,第一和第二载物台20和22以及压印头26可以将模具226定位成与衬底16叠加、且置于衬底16的第二面14上的聚合材料50填充在衬底16和模具26之间限定的期望体积。在步骤316,置于衬底16的第二面14上的聚合材料50可以遵照衬底16的第二面14和模具226的图案形成表面228凝固和/或交联。在又一实施方式中,以上提及的步骤308可以被省略,其中衬底16基本对上述提及的光化学辐射透明从而置于衬底16的第一和第二面12和14上的材料50可以同时凝固和/或交联。
参考图15和21,在步骤318,模具226可以与置于衬底16的第二面14上的聚合材料50分离以使衬底16保持与模具26耦连。为了便于模具226与聚合材料50的分离,模具226可以向衬底16弯曲同时压印头36提供模具26在远离模具226方向上的运动。
参考图15和22,在步骤320,第一和第二载物台20和22及压印头36可以定位衬底夹具18以使衬底夹具18可与衬底16叠加。在步骤332,模具26可以与置于衬底16的第一面12上的聚合材料50分离以使衬底16可被置于衬底夹具18上。为了便于模具26与聚合材料50的分离,模具26可以向衬底16弯曲同时压印头36提供模具26在远离衬底16方向上的运动。置于衬底16的第二面14上的聚合材料50可以置于衬底夹具18的空腔19内以最小化(如果不能防止)对聚合材料50的损坏。在步骤324,衬底16可以从衬底夹具18上卸载且机器人68可以将衬底16置于衬底盒74中。
在另一实施方式中,流体分配器48和248可以置于系统110之外,且衬底16的第一和第二面12和14使聚合流体50置于系统110之外。此外,可期望从衬底16的与机器人68和/或衬底夹具18接触的一部分上除去聚合材料50。
参考图23,对系统10的第三实施方式进行描述,如系统210所示。系统210可以与以上参考图1到7所述的系统10类似,然而,系统210可以进一步包括附加的图案形成表面和支承衬底16的销子,以下对其进行更进一步的描述。
系统210进一步包括具有从其上向模板24延伸的模具326的模板324。模板324可以与模板夹具334耦连。模板324、模具326、及模板夹具334可以分别与以上参考图1所述的模板24、模具26、及模板夹具35类似,模具326可以具有基本与模具26的图案形成表面28相同的图案形成表面328。然而,在另一实施方式中,图案形成表面328可以与图案形成表面28不同。在又一实施方式中,模板夹具324可以是在与模具326叠加的模板夹具324的区域之上具有2微米到100微米曲率的球形夹具单元。销子80可以提供模板324和模具326在第一轴和第二轴上的运动,如以上参考图1所提及的。更进一步地,销子80可以提供沿着与第一和第二轴垂直的第三轴,即沿着x轴的运动。在一个示例中,销子80可以提供在x轴和y轴附近约50到200微米且沿着z轴约2毫米的运动。
系统210进一步包括流体分配器348,且流体分配器348与以上参考图1所提及的流体分配器48类似。流体分配器348和成像单元60b被示位与基座23耦连;然而,流体分配器348和成像单元60b可以与系统210的任一部分耦连。流体分配器348的控制可以由处理器76调节,该处理器76与流体分配器348进行数据通信。
参考图24和25,示出在衬底16的第一和第二面12和14上形成图案的方法和系统的第三实施方式。在步骤400,机器人68可以将衬底16从衬底盒74取回且机器人68夹持衬底16。在步骤402,机器人68可以定位衬底16以使衬底16与流体分配器48和348之间的期望空间关系可以被获得以在衬底16上定位聚合流体。更具体地,流体分配器48可以将聚合流体50置于衬底16的第一面12上,且流体分配器348可以将聚合流体50置于衬底16的第二面14上。在另一实施方式中,流体分配器48和348可以置于系统210之外,且衬底16的第一和第二面12和14使聚合流体50置于系统210之外。在步骤404,模具26和模具326之间的距离可被增大以使衬底16可被置于模具26和模具326之间。应该注意,为了简单例示,未示出处理器76与成像单元60b、销子80、及流体分配器348之间的耦连。
参考图24和26,在步骤406,机器人68可以平移衬底16且销子80可以转移从而可以获得在衬底16与销子80之间的期望空间关系。结果,衬底16可相对销子80处于中心。更具体地,通孔25可以与销子80叠加。然而,在另一实施方式中,可以获得衬底16和销子80之间的期望空间关系。
参考图24和27,在步骤408,销子80可以沿着z轴平移以使衬底16可被置于销子80之上。在步骤410,机器人68可以从夹持衬底16收缩。更具体地,机器人68的机械臂70可以收缩以使图7示出的端部操纵装置73不与衬底16耦连。在步骤412,成像单元60a可以确定衬底16的位置。更具体地,可以采用成像单元60a来确定衬底16相对于系统10的任一部分,即模具26、模具326、或机器人68的中心位置,如以上参考图5和图6所提及的。结果,可以获得衬底16相对于系统10的任一部分的期望空间关系,以下进一步对其进行描述。
参考图24和28,在步骤414,可以获得在衬底16和模具326之间的期望位置。更具体地,销子80和夹具334可以定位衬底16和模具326以使衬底16可与模具326叠加,且进一步地置于衬底16的第二面14上的聚合材料50填充在衬底16与模具326之间限定的期望体积。
参考图24和图29,在步骤416,在衬底16和模具26之间可以获得期望位置。更具体地,销子80和压印头36可以定位衬底16和模具26以使衬底16可与模具26叠加,且更进一步地置于衬底16的第一面12上的聚合材料50填充在衬底16和模具26之间限定的期望体积。在步骤418,如上所述,置于衬底16的第一面12上的聚合材料50可以遵照衬底16的第一面12和模具26的图案形成表面28凝固和/或交联,且置于衬底16的第二面14上的聚合材料50可以遵照衬底16的第二面14和模具326的图案形成表面328凝固和/或交联。
参考图24和30,在步骤420,模具26可以与置于衬底16的第一面12上的聚合材料50分离。此外,可能期望从衬底16的与机器人68和/或销子80接触的一部分上除去聚合材料50。
参考图24和31,在步骤422,机器人68可以取回衬底16以使在图7中示出的机械臂70的端部操纵装置73夹持衬底16。在步骤424,模具326可以与置于衬底16的第二面14上的聚合材料50分离从而衬底16与机器人68耦连。在步骤426,衬底16可以从衬底夹具18中卸载且机器人68可以将衬底16置于衬底盒74中。
以上所述的本发明的各个实施方式是示例性的。可以对以上所陈述的公开内容作许多变化和修正,然而仍在本发明的范围之内。因此,本发明的范围不应限于上述描述,而应参考所附权利要求及它们的等价物的全部范围进行确定。

Claims (13)

1.一种用模具组件使衬底形成图案的方法,所述衬底具有第一和第二相反两面,所述方法包括以下步骤:
获得所述衬底和所述模具组件之间的第一空间关系以使所述衬底的所述第一面与所述模具组件叠加,所述模具组件和所述衬底的所述第一面使一材料置于它们之间;
用所述模具组件以所述材料在所述衬底的所述第一面形成图案,从而限定第一图案形成层;
获得所述衬底和所述模具组件之间的不同于所述第一空间关系的第二空间关系以使所述衬底的所述第二面与所述模具组件叠加,所述模具组件和所述衬底的所述第二面使一材料置于它们之间;以及
用所述模具组件以所述材料在所述衬底的所述第二面上形成图案,从而限定第二图案形成层。
2.如权利要求1所述的方法,其特征在于,获得所述第二空间关系的步骤进一步包括翻转所述衬底的步骤。
3.如权利要求1所述的方法,其特征在于,获得所述第二空间关系的步骤进一步包括将所述衬底相对所述模具组件翻转180°的步骤。
4.一种使衬底形成图案的方法,所述衬底具有第一和第二相反两面,所述方法包括以下步骤:
将材料置于所述衬底的所述第一面上;
获得所述衬底和第一模具组件之间的第一空间关系以使衬底的所述第一面与所述第一模具组件叠加;
用所述第一模具组件以所述材料在所述衬底上的所述第一面上形成图案,从而限定第一图案形成层;
将材料置于所述衬底的所述第二面上;
获得所述衬底和所述第二模具组件之间的不同于所述第一空间关系的第二空间关系以使所述衬底的所述第二面与所述第二模具组件叠加;以及
用所述第二模具组件以所述材料在所述衬底的所述第二面上形成图案,从而限定第二图案形成层。
5.如权利要求4所述的方法,其特征在于,以所述材料在所述衬底的所述第一面上形成所述图案的步骤进一步包括将所述衬底与所述第一模具组件耦连以使所述材料可被置于所述衬底的所述第二面上的步骤。
6.一种使具有第一和第二相反两面的衬底形成图案的系统,所述系统包括:
模具组件;以及
与所述衬底耦连的机器人,可选择地将所述衬底放置在相对于所述模具组件的第一和第二位置上以使所述模具组件可与置于所述衬底的所述第一相反面上的材料接触,从而限定第一图案形成层,并且进一步与置于所述衬底的所述第二相反面上的材料接触,从而限定第二图案形成层。
7.如权利要求6所述的系统,进一步包括第一和第二相对的流体分配器,所述第一流体分配器将所述材料置于所述衬底的所述第一面上而所述第二流体分配器将所述材料置于所述衬底的所述第二面上。
8.如权利要求6所述的系统,进一步包括光学检测系统,所述光学检测系统用于确定在所述模具组件与所述衬底之间的空间关系。
9.如权利要求6所述的系统,其特征在于,所述机器人进一步将所述衬底相对于所述模具组件翻转180°。
10.一种使具有第一和第二相反两面的衬底形成图案的系统,所述系统包括:
第一模具组件;
与所述第一模具组件相对放置的第二模具组件;
平移载物台,可选择地将所述衬底置于相对所述第一和第二模具组件的第一和第二位置以使在所述第一位置所述第一模具组件与置于所述衬底的所述第一面上的材料接触,而在所述第二位置所述第二模具组件与置于所述衬底的所述第二面上的材料接触。
11.如权利要求10所述的系统,进一步包括第一和第二相对的流体分配器,所述第一流体分配器将所述材料置于所述衬底的所述第一面上而所述第二流体分配器将所述材料置于所述衬底的所述第二面上。
12.如权利要求10所述的系统,进一步包括光学检测系统,所述光学检测系统用于确定所述模具组件与所述衬底之间的空间关系。
13.如权利要求10所述的系统,其特征在于,所述机器人进一步将所述衬底相对于所述模具组件翻转180°。
CNA2006800462748A 2005-12-08 2006-11-30 用于衬底双面图案形成的方法和系统 Pending CN101535021A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US74843005P 2005-12-08 2005-12-08
US60/748,430 2005-12-08

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201410398086.8A Division CN104317161A (zh) 2005-12-08 2006-11-30 用于衬底双面图案形成的方法和系统

Publications (1)

Publication Number Publication Date
CN101535021A true CN101535021A (zh) 2009-09-16

Family

ID=38123403

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410398086.8A Pending CN104317161A (zh) 2005-12-08 2006-11-30 用于衬底双面图案形成的方法和系统
CNA2006800462748A Pending CN101535021A (zh) 2005-12-08 2006-11-30 用于衬底双面图案形成的方法和系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410398086.8A Pending CN104317161A (zh) 2005-12-08 2006-11-30 用于衬底双面图案形成的方法和系统

Country Status (8)

Country Link
US (2) US7670529B2 (zh)
EP (1) EP1957249B1 (zh)
JP (1) JP4987012B2 (zh)
KR (1) KR101324549B1 (zh)
CN (2) CN104317161A (zh)
MY (1) MY144847A (zh)
TW (1) TWI310726B (zh)
WO (1) WO2007067488A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104129816A (zh) * 2014-07-31 2014-11-05 四川龙蟒钛业股份有限公司 一种钛白浓缩酸除铁的方法
CN111913349A (zh) * 2020-08-25 2020-11-10 青岛天仁微纳科技有限责任公司 纳米压印设备及压印方法
CN113934111A (zh) * 2021-11-09 2022-01-14 青岛天仁微纳科技有限责任公司 一种具有双面压印功能的纳米压印设备

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) * 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
TW200801794A (en) * 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
EP1972996B1 (de) * 2007-03-21 2010-10-13 Erich Dipl.-Ing. Thallner Verfahren und Vorrichtung zur Erzeugung einer nanostrukturierten Scheibe
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US20090199768A1 (en) * 2008-02-12 2009-08-13 Steven Verhaverbeke Magnetic domain patterning using plasma ion implantation
US8551578B2 (en) * 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
US8535766B2 (en) * 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
JP5327421B2 (ja) * 2008-03-14 2013-10-30 大日本印刷株式会社 インプリント用スタンパ
JP4815464B2 (ja) * 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
SG174889A1 (en) * 2009-03-23 2011-11-28 Intevac Inc A process for optimization of island to trench ratio in patterned media
WO2010120725A2 (en) * 2009-04-13 2010-10-21 Applied Materials, Inc. Hdd pattern apparatus using laser, e-beam, or focused ion beam
JP5328495B2 (ja) * 2009-06-04 2013-10-30 キヤノン株式会社 インプリント装置及び物品の製造方法
WO2011077882A1 (ja) * 2009-12-25 2011-06-30 株式会社日立ハイテクノロジーズ 両面インプリント装置
JP5469041B2 (ja) * 2010-03-08 2014-04-09 株式会社日立ハイテクノロジーズ 微細構造転写方法およびその装置
WO2012020741A1 (ja) * 2010-08-12 2012-02-16 株式会社日立ハイテクノロジーズ 光インプリント方法及び装置
JP2012109487A (ja) * 2010-11-19 2012-06-07 Hitachi High-Technologies Corp 両面インプリント装置
WO2013077952A1 (en) 2011-11-23 2013-05-30 Applied Materials, Inc. Apparatus and methods for silicon oxide cvd photoresist planarization
JP5930832B2 (ja) 2012-04-27 2016-06-08 キヤノン株式会社 光硬化物の製造方法
US10120276B2 (en) 2015-03-31 2018-11-06 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and method of manufacturing article
WO2017180866A1 (en) * 2016-04-15 2017-10-19 Michaelis A John Maintaining alignment while turning over a panel
CN205702840U (zh) * 2016-05-12 2016-11-23 李俊豪 双面加工的激光机台
CN106206462A (zh) * 2016-09-12 2016-12-07 桂林电子科技大学 一种面向可延展电子的双面柔性结构性基底
US10622267B2 (en) * 2016-10-04 2020-04-14 Tokyo Electron Limited Facilitation of spin-coat planarization over feature topography during substrate fabrication
DE102016122355B4 (de) * 2016-11-21 2018-10-04 Manz Ag Bearbeitungsanlage und Verfahren zum Bearbeiten eines plattenförmigen Objekts
US10969680B2 (en) * 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
US10395940B1 (en) 2018-03-13 2019-08-27 Toyota Motor Engineering & Manufacturing North America, Inc. Method of etching microelectronic mechanical system features in a silicon wafer
WO2021016354A1 (en) * 2019-07-23 2021-01-28 University Of Massachusetts Thermal imprinting of nanostructure materials

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003090985A1 (en) * 2002-04-24 2003-11-06 Obducat Ab Device and method for transferring a pattern to a substrate

Family Cites Families (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2000000A (en) * 1932-05-12 1935-04-30 Budd Edward G Mfg Co Vehicle wheel construction
GB1183056A (en) * 1966-11-29 1970-03-04 Bp Chemicals U K Ltd Formerly Metering Process for Dispensing Measured Quantities of Liquefied Gas
US4022855A (en) * 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
NL7710555A (nl) 1977-09-28 1979-03-30 Philips Nv Werkwijze en inrichting voor het vervaardigen van informatie bevattende platen.
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
DE3022709A1 (de) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück Wasserfestes fotografisches papier und verfahren zu seiner herstellung
US4576900A (en) * 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
JPS58155542A (ja) * 1982-03-10 1983-09-16 Toshiba Corp 情報記録担体
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4637904A (en) * 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
US4506184A (en) * 1984-01-10 1985-03-19 Varian Associates, Inc. Deformable chuck driven by piezoelectric means
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4559717A (en) 1984-02-21 1985-12-24 The United States Of America As Represented By The Secretary Of Commerce Flexure hinge
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
EP0234632B1 (en) 1986-02-13 1991-01-16 Koninklijke Philips Electronics N.V. Matrix for use in a replica process
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) * 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JP2823016B2 (ja) 1986-12-25 1998-11-11 ソニー株式会社 透過型スクリーンの製造方法
US6048799A (en) * 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US4936465A (en) 1987-12-07 1990-06-26 Zoeld Tibor Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JP2570402B2 (ja) * 1988-09-30 1997-01-08 日本ビクター株式会社 光学式情報記録媒体成型装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US4932358A (en) 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) * 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5451435A (en) 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5288436A (en) * 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
FR2677043B1 (fr) 1991-05-29 1993-12-24 Solems Procede, dispositif et appareil pour traiter un substrat par un plasma basse pression.
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP2867194B2 (ja) 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (ja) 1992-04-28 2001-04-16 東京エレクトロン株式会社 プラズマ処理装置
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5445195A (en) 1992-07-15 1995-08-29 Kim; Dae S. Automatic computer-controlled liquid dispenser
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5449117A (en) 1993-10-04 1995-09-12 Technical Concepts, L.P. Apparatus and method for controllably dispensing drops of liquid
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
KR0157279B1 (ko) * 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
US5542605A (en) 1994-04-07 1996-08-06 Flow-Rite Controls, Ltd. Automatic liquid dispenser
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5628917A (en) 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5843363A (en) 1995-03-31 1998-12-01 Siemens Aktiengesellschaft Ablation patterning of multi-layered structures
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) * 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5900062A (en) 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5923408A (en) 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
DE938597T1 (de) 1996-09-06 2000-03-09 Obducat Ab Verfahren für das anisotrope ätzen von strukturen in leitende materialien
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (ja) 1996-10-23 1998-05-15 Toshiba Corp 液晶表示素子
JPH10172897A (ja) 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5996415A (en) * 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
WO1999045179A1 (en) 1998-03-05 1999-09-10 Obducat Ab Method of etching
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6304424B1 (en) 1998-04-03 2001-10-16 Applied Materials Inc. Method and apparatus for minimizing plasma destabilization within a semiconductor wafer processing system
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
FI109944B (fi) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelektroninen komponentti ja valmistusmenetelmä
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
US6247986B1 (en) * 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6142763A (en) * 1998-12-30 2000-11-07 International Thermoforming Systems, Inc. Thermal presses for forming articles from a web of thermoplastic material
US6521536B1 (en) * 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
JP2000231011A (ja) * 1999-02-09 2000-08-22 Sharp Corp 光学素子およびその製造に用いるスタンパ
US6741338B2 (en) 1999-02-10 2004-05-25 Litel Instruments In-situ source metrology instrument and method of use
US6198525B1 (en) * 1999-02-19 2001-03-06 International Business Machines Corporation System for contact imaging both sides of a substrate
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6160430A (en) 1999-03-22 2000-12-12 Ati International Srl Powerup sequence artificial voltage supply circuit
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6220561B1 (en) * 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6809802B1 (en) 1999-08-19 2004-10-26 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6512401B2 (en) * 1999-09-10 2003-01-28 Intel Corporation Output buffer for high and low voltage bus
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
WO2001040875A1 (en) 1999-11-30 2001-06-07 Silicon Valley Group, Inc. Dual-stage lithography apparatus and method
SE515607C2 (sv) 1999-12-10 2001-09-10 Obducat Ab Anordning och metod vid tillverkning av strukturer
AU779699B2 (en) 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) * 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6356337B1 (en) * 2000-03-08 2002-03-12 Anvik Corporation Two-sided substrate imaging using single-approach projection optics
US6313567B1 (en) 2000-04-10 2001-11-06 Motorola, Inc. Lithography chuck having piezoelectric elements, and method
US6387330B1 (en) 2000-04-12 2002-05-14 George Steven Bova Method and apparatus for storing and dispensing reagents
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7717696B2 (en) 2000-07-18 2010-05-18 Nanonex Corp. Apparatus for double-sided imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (ko) * 2000-08-19 2002-09-05 삼성전자 주식회사 반도체 장치의 금속 비아 콘택 및 그 형성방법
US8016277B2 (en) 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
US7387508B2 (en) 2004-06-01 2008-06-17 Molecular Imprints Inc. Compliant device for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20050274219A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6879162B2 (en) * 2000-11-07 2005-04-12 Sri International System and method of micro-fluidic handling and dispensing using micro-nozzle structures
JP2004515918A (ja) 2000-12-04 2004-05-27 株式会社荏原製作所 基板処理装置及びその方法
US6612590B2 (en) 2001-01-12 2003-09-02 Tokyo Electron Limited Apparatus and methods for manipulating semiconductor wafers
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (ja) 2001-05-22 2002-12-04 Sharp Corp 金属膜パターンおよびその製造方法
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
TWI285279B (en) 2001-06-14 2007-08-11 Himax Tech Ltd Liquid crystal display panel having sealant
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) * 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
US6898064B1 (en) 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6771372B1 (en) 2001-11-01 2004-08-03 Therma-Wave, Inc. Rotational stage with vertical axis adjustment
JP2003202584A (ja) 2002-01-08 2003-07-18 Toshiba Corp 液晶表示装置
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6736408B2 (en) 2002-01-25 2004-05-18 Applied Materials Inc. Rotary vacuum-chuck with venturi formed at base of rotating shaft
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
KR100975785B1 (ko) * 2002-03-15 2010-08-16 프린스턴 유니버시티 레이저 보조 직접 임프린트 리소그래피
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US7223350B2 (en) 2002-03-29 2007-05-29 International Business Machines Corporation Planarization in an encapsulation process for thin film surfaces
US7144539B2 (en) * 2002-04-04 2006-12-05 Obducat Ab Imprint method and device
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
AU2003232962A1 (en) 2002-05-27 2003-12-12 Koninklijke Philips Electronics N.V. Method and device for transferring a pattern from a stamp to a substrate
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
SE522237C2 (sv) * 2002-06-07 2004-01-27 Obducat Ab Förfarande och anordning för överföring av ett mönster, centrering av ett substrat och ett tryckorgan samt användning av en konisk dorn
BR0312122A (pt) * 2002-06-13 2005-03-29 Procter & Gamble Composições contendo ativos amaciantes de tecido especìficos
JP4266328B2 (ja) * 2002-06-17 2009-05-20 株式会社ナガセインテグレックス 転写装置
US7252492B2 (en) * 2002-06-20 2007-08-07 Obducat Ab Devices and methods for aligning a stamp and a substrate
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
WO2004021083A1 (en) * 2002-08-27 2004-03-11 Obducat Ab Device for transferring a pattern to an object
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040207836A1 (en) * 2002-09-27 2004-10-21 Rajeshwar Chhibber High dynamic range optical inspection system and method
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
JP4363837B2 (ja) * 2002-11-07 2009-11-11 大日本印刷株式会社 曲面への微細な凹凸の形成方法、及び光学部材
US7641840B2 (en) 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
WO2004054784A1 (en) * 2002-12-13 2004-07-01 Molecular Imprints, Inc. Magnification corrections employing out-of-plane distortions on a substrate
JP4158514B2 (ja) * 2002-12-24 2008-10-01 ウシオ電機株式会社 両面投影露光装置
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
JP4651390B2 (ja) 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US6805054B1 (en) 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US6951173B1 (en) * 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7150622B2 (en) 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US7790231B2 (en) * 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
JP2005045168A (ja) * 2003-07-25 2005-02-17 Tokyo Electron Ltd インプリント方法およびインプリント装置
US6879191B2 (en) * 2003-08-26 2005-04-12 Intel Corporation Voltage mismatch tolerant input/output buffer
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
JP4322096B2 (ja) 2003-11-14 2009-08-26 Tdk株式会社 レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
JP2005153091A (ja) * 2003-11-27 2005-06-16 Hitachi Ltd 転写方法及び転写装置
US7023238B1 (en) * 2004-01-07 2006-04-04 Altera Corporation Input buffer with selectable threshold and hysteresis option
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
JP4455093B2 (ja) * 2004-02-20 2010-04-21 キヤノン株式会社 モールド、モールドを用いた加工装置及びモールドを用いた加工方法
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
CN101426957A (zh) 2004-05-28 2009-05-06 得克萨斯州大学系统董事会 基片支承系统和方法
WO2005119802A2 (en) 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050275311A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
JP4792028B2 (ja) 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド ナノスケール製造技術における流体の分配およびドロップ・オン・デマンド分配技術
US7768624B2 (en) 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7673775B2 (en) 2004-06-25 2010-03-09 Cristian Penciu Apparatus for mixing and dispensing fluids
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7282550B2 (en) 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) * 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
WO2006060758A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
WO2006060757A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US7363854B2 (en) 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7635263B2 (en) 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US7636999B2 (en) 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7798801B2 (en) 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US7670534B2 (en) * 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
EP1795497B1 (en) * 2005-12-09 2012-03-14 Obducat AB Apparatus and method for transferring a pattern with intermediate stamp
US7360851B1 (en) * 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US20070231422A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
TW200801794A (en) 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
CN101405087A (zh) 2006-04-03 2009-04-08 分子制模股份有限公司 光刻印刷系统
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003090985A1 (en) * 2002-04-24 2003-11-06 Obducat Ab Device and method for transferring a pattern to a substrate

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104129816A (zh) * 2014-07-31 2014-11-05 四川龙蟒钛业股份有限公司 一种钛白浓缩酸除铁的方法
CN104129816B (zh) * 2014-07-31 2016-03-30 襄阳龙蟒钛业有限公司 一种钛白浓缩酸除铁的方法
CN111913349A (zh) * 2020-08-25 2020-11-10 青岛天仁微纳科技有限责任公司 纳米压印设备及压印方法
CN113934111A (zh) * 2021-11-09 2022-01-14 青岛天仁微纳科技有限责任公司 一种具有双面压印功能的纳米压印设备
CN113934111B (zh) * 2021-11-09 2023-07-18 青岛天仁微纳科技有限责任公司 一种具有双面压印功能的纳米压印设备

Also Published As

Publication number Publication date
JP4987012B2 (ja) 2012-07-25
US20070132152A1 (en) 2007-06-14
EP1957249B1 (en) 2014-11-12
US7670529B2 (en) 2010-03-02
WO2007067488A2 (en) 2007-06-14
TW200730325A (en) 2007-08-16
MY144847A (en) 2011-11-30
CN104317161A (zh) 2015-01-28
TWI310726B (en) 2009-06-11
WO2007067488A3 (en) 2009-04-23
KR20080080338A (ko) 2008-09-03
US20100129486A1 (en) 2010-05-27
EP1957249A4 (en) 2012-07-25
US8109754B2 (en) 2012-02-07
JP2009518863A (ja) 2009-05-07
EP1957249A2 (en) 2008-08-20
KR101324549B1 (ko) 2013-11-01

Similar Documents

Publication Publication Date Title
CN101535021A (zh) 用于衬底双面图案形成的方法和系统
JP4472011B2 (ja) 複数のチャックを用いる基板パターニング
US7935292B2 (en) Imprinting of partial fields at the edge of the wafer
JP5065517B2 (ja) 基板テーブル、および基板リリース特性を向上させる方法
JP5802557B2 (ja) インプリント・リソグラフィ・システムでの硬化用のエネルギー源
US20100289184A1 (en) Die Imprint By Double Side Force-Balanced Press For Step-And-Repeat Imprint Lithography
KR20110046438A (ko) 나노임프린트 리소그래피를 위한 내부 캐비티 시스템
JP2013507770A (ja) 大面積線形アレイのナノインプリンティング
JP2011077529A (ja) 流体チャンバのアレイを備えるチャック・システム
US7665981B2 (en) System to transfer a template transfer body between a motion stage and a docking plate
TWI411522B (zh) 基材對準技術
US11199773B2 (en) Imprint apparatus, imprint method, and article manufacturing method
US11520232B2 (en) Film for application to three-dimensional sample, method for manufacturing same, and method for transferring fine pattern using same
JP2019192821A (ja) 型を用いて基板上の組成物を成形する成形装置、成形方法、および物品の製造方法
JP2021150457A (ja) インプリント装置及び物品の製造方法
EP1934669A2 (en) System to transfer a template transfer body between a motion stage and a docking plate
JP2019201180A (ja) 付着物除去方法、成形装置、成形方法、および物品の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20090916