CN101496153A - 形成含碳外延硅层的方法 - Google Patents

形成含碳外延硅层的方法 Download PDF

Info

Publication number
CN101496153A
CN101496153A CNA2007800284872A CN200780028487A CN101496153A CN 101496153 A CN101496153 A CN 101496153A CN A2007800284872 A CNA2007800284872 A CN A2007800284872A CN 200780028487 A CN200780028487 A CN 200780028487A CN 101496153 A CN101496153 A CN 101496153A
Authority
CN
China
Prior art keywords
carbon
epitaxial
carbon containing
containing silicon
concentration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800284872A
Other languages
English (en)
Inventor
Y·金
Z·叶
A·佐嘉吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101496153A publication Critical patent/CN101496153A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type

Abstract

于第一方面中,提供一种在基材上形成外延层堆栈的方法。此方法包含:(1)选择该外延层堆栈的一标的碳浓度;(2)在该基材上形成一含碳硅层,并依据所选择的该标的碳浓度,选择该含碳硅层所具有的一初始碳浓度、一厚度以及一沉积时间中的至少一者;以及(3)在蚀刻前,在该含碳硅层上形成一非含碳硅层。亦提供多种其它方面。

Description

形成含碳外延硅层的方法
技术领域
本发明是关于半导体组件的工艺,更具体地,是关于形成含碳外延硅层的方法。
背景技术
随着小型晶体管的生产,超浅源/漏极接面的制造变得更具挑战性。一般而言,次100纳米(sub-100nm)的互补性金属氧化物半导体(Complementary Metal-Oxide Semiconductor;CMOS)组件,所要求的接面深度需小于30nm。含硅材料(例如硅、硅锗或碳化硅)的外延层,常利用选择性的外延沉积(selective epitaxial deposition),形成于接面中。一般而言,选择性外延沉积能够让外延长在硅沟(silicon moats)上,而非长在介电区上。选择性外延可用于半导体组件,例如提高源/漏极、源/漏极延展、接触插塞或双极性组件的基层沉积。
一般而言,选择性外延工艺牵涉到沉积反应与蚀刻反应。沉积反应与蚀刻反应是同时发生,但对于外延层与多晶质层则具有不同的反应速率。于沉积的过程中,外延层是形成于一单晶硅层表面,而多晶质层则沉积于至少第二层上,例如多晶质层及/或非晶质层。然而,所沉积的多晶质层其蚀刻速率通常较外延层快。因此,通过改变蚀刻气体的浓度,净选择工艺的结果为外延材料的沉积,同时限制了或并无多晶质材料的沉积。举例而言,选择性外延工艺会在单晶硅表面上形成含硅材料的外延层,而于间隙壁上无任何沉积。
在形成提高源/漏极与源/漏极延展的特征时,例如在形成含硅的金氧半场效晶体管(Metal-Oxide-Semiconductor Field-Effect Transistor,MOSFET)组件时,含硅材料的选择性外延沉积技术具有相当助益。源/漏极延展的制造方式,是先蚀刻硅表面以制造出嵌壁式的源/漏极,再利用选择性成长的外延层,例如硅锗材料,填入蚀刻后的表面。选择性外延能以内掺杂(in-situ doping)近乎完全的掺杂活化(dopant activation),进而省略后续的退火工艺。因此,可通过硅蚀刻与选择性外延准确地定义出接面深度。另一方面,超浅源/漏极无可避免地会导致串联电阻的增加。此外,在形成硅化物过程中的接面消耗(junction consumption),会进一步地提高串联电阻。为了弥补接面消耗,于接面上外延地且选择性地成长提高的源/漏极。一般而言,提高的源/漏极层为未掺杂硅。
然而,现有选择性外延工艺具有某些缺点。为了在现今的外延工艺中维持选择性,因此前体的化学浓度以及反应温度必须在沉积过程中全程控管与调整。若未提供足够的硅前体,蚀刻反应则会居于主要,并延滞整个工艺。此外,亦可能产生对基材有害的过度蚀刻。若未提供足够的蚀刻前体,沉积反应则会居于主要,降低在基材表面形成单晶硅与多晶质材料的选择性。另外,现今选择性外延工艺需以高反应温度进行,例如800℃、1000℃或更高。但由于热预算(thermal budget)的考量,且于基材表面可能有难以控制的氮化反应,在制成过程中,此高温反应是是不利的。另外,部分外延膜及/或工艺在形态上则有产生缺陷的倾向,例如于膜中产生坑洞或表面粗糙。
因此,仍待开发需一种可选择性且外延沉积硅与含硅化合物的工艺。此外,在快速沉积速率且工艺温度维持于例如约800℃或更低时,此工艺需能与各种元素浓度形成含硅化合物。最后,此工艺应产生低缺陷的膜或膜堆栈(例如较少的坑洞、断层、粗糙、点缺陷等)。
发明内容
于本发明的第一方面中,提供一种在基材上形成外延层堆栈的方法。此方法包含:(1)选择该外延层堆栈的一标的碳浓度;(2)在该基材上形成一含碳硅层,并依据所选择的该标的碳浓度,选择该含碳硅层所具有的一初始碳浓度、一厚度以及一沉积时间的至少一者;以及(3)在蚀刻前,在该含碳硅层上形成一非含碳硅层。
于本发明的第二方面中,提供一种形成外延层堆栈的方法。此方法包含:(1)选择该外延层堆栈的一标的碳浓度;(2)通过交替沉积含碳硅层与非含碳硅层,形成该外延层堆栈。依据该含碳硅层的一总厚度、一初始碳浓度以及一沉积时间的至少一者,达到该标的碳浓度。
于本发明的第三方面中,提供一种用以控制形成在基材上的外延层堆栈中的碳浓度的方法。此方法包含:(1)决定该外延层堆栈的所需的碳浓度;(2)形成该外延层堆栈,通过(a)在该基材上形成一含碳外延层;以及(b)于该含碳外延层上形成一非含碳覆盖层。依据该外延层堆栈的该所需的碳浓度,选择该含碳外延层的一厚度。亦提供多种其它方面。
依据下述的实施方式、权利要求与所附图标,可使本发明其它特征与方面更为清楚。
附图说明
图1A-1D是绘示依照本发明一实施例中,于形成外延层堆栈过程中基材的剖面图。
图2是绘示依照本发明一实施例中,沿非含碳种外延层、含碳晶层与非含碳覆盖外延层的堆栈层,其碳浓度的曲线图。
图3是绘示依照本发明一实施例中,一种当种外延层与覆盖外延层的沉积时间为固定时,依据不同含碳外延层的沉积时间,所获得的取代碳浓度(substitutioanl carbon,SC)曲线图。
图4是绘示依照本发明一实施例中,形成具有标的碳浓度的外延层堆栈的方法流程图。
主要组件符号说明
100基材           400方法
102种外延层       401步骤
104含碳硅外延层   402步骤
106第二硅外延层   403步骤
108外延层堆栈     404步骤
200图标           405步骤
202线条           406步骤
300图标
302线条
具体实施方式
在以介电质膜图案化的硅基材上,选择性外延成长的过程仅于暴露的硅表面上形成(例如而非于介电质表面)单晶半导体。选择性外延成长的过程可包含同时进行的蚀刻-沉积工艺,亦或气体交替供应工艺。在同时进行的蚀刻-沉积工艺中,蚀刻剂与沉积物两者是同时流动。据此,在形成外延层的过程中,沉积与蚀刻为同时发生。
相反地,于附上的美国专利申请案中(申请案号11/001,774,申请日2004年12月1日,代理人案号9618),则描述了以气体交替供应(alternating gas supply,AGS)在基材上形成外延层的工艺。在AGS工艺中,则是先在基材上进行外延沉积工艺,然后在在基材上进行蚀刻工艺。此种外延沉积工艺续以蚀刻工艺的循环则不断重复,直至形成所需的外延层厚度为止。
沉积过程可包含将基材表面暴露在含有至少一硅源与载流气体的沉积气体中。沉积气体亦可包含锗源及/或碳源,抑或是掺杂源。常见的掺杂物可包含砷、硼、磷、锑、镓、铝以及其它元素。
在沉积过程中,当多晶质层形成于第二层表面上时,例如非晶质及/或多晶质表面,外延层是形成于基材的单晶质表面。接着,将基材暴露在蚀刻气体中。此蚀刻气体包含一载流气体与一蚀刻剂。蚀刻气体移除在沉积过程中沉积的含硅材料。在蚀刻过程中,多晶质层的移除速率则快过外延层。因此,沉积与蚀刻工艺的净结果会造成在单晶质表面上形成外延成长含硅材料,而在第二表面上的多晶质含硅材料,若有成长的话则可降到最低。用来沉积含硅材料的示例包含硅、硅锗(silicon germanium)、碳化硅(silicon carbon)、硅锗碳(silicon germanium carbon)、其各式掺杂物与类似者。
习知的碳外延膜的形成过程是利用氢气、氯化氢与硅源,例如二氯硅烷(dichlorosilane),在基材温度高于约700℃下反应(例如解离氯化氢及/或硅源)。为了降低外延膜的形成温度,可采用氯气取代氯化氢(氯化氢),这是由于氯气在较低温度下(例如约600℃或以下)可更有效地解离。由于氢气与氯气不兼容,因此可采用除了氢气以外的载流气体以与氯气一同使用,例如氮气。同样地,亦可使用具有较低解离温度的硅源(例如硅烷(silane,SiH4)、二硅乙烷(disilane,Si2H6)等)。
使用氯气作为硅外延膜形成过程的蚀刻气体,可能会导致较差的硅外延膜表面形态。尽管不希望受限于任何特定的理论,但氯气被认为会激烈地侵害硅外延膜表面,造成坑洞或类似者。且已发现当硅外延膜含有碳时,使用氯气会造成特定的问题。
本发明是提供一种在硅外延膜形成过程中,使用氯气作为蚀刻气体的方法,以改善外延膜表面形态。举例而言,本发明可与美国专利申请号11/001,774(申请日2004年12月1日,代理人案号9618)中,所述的气体交替供应工艺一并使用。
于部分实施例中,在一蚀刻相中,在暴露于氯气之前,可先将含碳硅外延膜埋覆(encapsulated)。举例而言,可通过不以碳源所形成的硅外延膜(即,不含碳硅外延膜),来埋覆含碳硅外延膜。
依据一实施例所示,于下文中将描述本发明的含碳硅外延层堆栈的形成以及所采用的AGS工艺,请一并参照图1A-1D。参照图1A,其绘示基材100的剖面图,其中一种外延层102(例如,硅外延层)是形成于基材100上。于部分实施例中,可将种外延层102移除。
为了形成种外延层102,可将基材100置于一处理室中,并加热基材及/或工艺温度。虽然亦可使用其它外延膜处理室及/或系统,但示例中的外延膜处理室可由位于加州Santa Clara的Applied Materials,Inc.所提供的system与
Figure A20078002848700092
system获得。于至少一实施例中,可采用低于约700℃的基材及/或工艺温度,以改善处理室内所形成的硅外延层中的碳含量。于一特定实施例中,可使用介于约550-650℃间的基材及/或工艺温度范围,然而,于另一实施例中,可使用低于约600℃的基材及/或工艺温度。亦可使用其它基材及/或工艺温度,包含高于700℃的基材及/或工艺温度。
在取得所需基材及/或工艺温度后,基材100则暴露在至少一硅源(无碳源)下,以便形成种外延层102。举例而言,基材100可暴露于硅源(例如硅烷或二硅乙烷)以及载流气体(例如氮气)下。亦可使用一掺杂源,例如磷或硼、锗源或其类似者(其它任何合适的源及/或气体亦同)。在外延膜形成的过程中,外延层102可形成在基材100的任一单晶质表面上,而多晶质层可形成在基材100上的任一多晶质层及/或非晶质层上(如前述)。
举例而言,可通过流入硅烷流速约50-150sccm的硅源(或流速约10-40sccm的二硅乙烷)形成种外延层102,以及流速约20-25slm的氮气载流气体(尽管可使用其它较大或较小流速的硅源及/或载流气体)。可依所需流入氯化氢。
于至少一实施例中,虽然亦可采用其它厚度,种外延层102所具有的厚度可约为
Figure A20078002848700101
举例而言,沉积时间可约为1秒至100秒,而于另一或更多实施例中,则约采用5秒。
在形成种外延层102之后(若有采用的话),则将基材100暴露在至少一硅源以及一碳源中,以于基材100的种外延层102上方形成一含碳硅外延层104(图1B)。举例而言,基材100可暴露于硅源(例如硅烷或二硅乙烷),一碳源(例如甲烷),以及一载流气体(例如氮气)下。亦可使用一掺杂源,例如磷或硼、锗源或其类似者(其它任何合适的源及/或气体亦同)。在外延膜形成的过程中,可在基材100的任一单晶质表面上形成含碳外延层,而在基材100上的任一多晶质层及/或非晶质层上(如前述)可形成多晶质层。
于至少一实施例中,甲烷流速约1-5sccm的碳源可与硅烷流速约50-150sccm的硅源(或流速约10-40sccm的二硅乙烷),以及流速约20-25slm的氮气载流气体一并使用(尽管可使用其它较大或较小流速的硅源及/或载流气体)。可依所需流入氯化氢。
于至少一实施例中,虽然亦可采用其它厚度,含碳外延层104所具有的厚度约为
Figure A20078002848700102
例如,沉积时间可约为1秒至50秒,而于另一或更多实施例中,则约采用10秒。
在形成含碳外延层104之后,则将基材100暴露在至少一硅源中(而无碳源),以于基材100上的含碳硅外延层104上方形成一第二硅外延层106(如图1C中所示的覆盖层)。举例而言,基材100可暴露于硅源(例如硅烷或二硅乙烷),以及一载流气体(例如氮气)中。亦可使用一掺杂源,例如磷或硼、锗源或其类似者(其它任何合适的源及/或气体亦同)。含碳硅外延层104上所覆盖的第二硅外延层106,可减少氯气与含碳硅外延层104中的碳(及/或氢气)间的作用。可依所需如前述流入氯化氢。
举例而言,第二硅外延层106可通过流入硅烷流速约50-150sccm的硅源形成(或流速约10-40sccm的二硅乙烷),以及流速约20-25slm的氮气载流气体(尽管可使用其它较大或较小流速的硅源及/或载流气体)。可依所需流入氯化氢。
于至少一实施例中,虽然亦可使用其它厚度,第二硅外延层106所具有的厚度可约为
Figure A20078002848700111
举例而言,沉积时间可约为1秒至100秒,而于另一或更多实施例中,则约采用5秒。
据此,可形成外延层堆栈108,其中含碳外延层104是包覆于非含碳外延层102、106之间(例如不以碳原形成的外延层)。
在形成第二硅外延层106之后,基材100则暴露在氯气及/或另一蚀刻剂中,以蚀刻至少第二硅外延层106及/或其它任何形成在基材100上的膜(例如在多晶质上所形成的多晶硅,及/或基材100上非晶质层,及/或在含碳硅外延层104上所形成的单晶硅)。举例而言,于至少一实施例中,基材100是暴露于流速约30-50sccm的氯气,以及流速约20slm的氮气载流气体中(虽然可使用其它较大或较小流速的氯气及/或载流气体)。可依所需流入氯化氢。
于蚀刻后,可清洁所使用的处理室(例如以氮气及/或另一惰性气体清洁约20秒,或其它合适的时间长),以从室中移除氯气及/或其它多余的物质/副产物。
覆盖外延层106及/或种外延层102可防止蚀刻剂与含碳外延层104中的碳发生反应。据此,由于蚀刻时位于下方的含碳层并不会暴露在氯气中,因此可采用氯气作为蚀刻剂。据此,含碳外延层104可具有平坦表面形态,而非坑洞表面形态。
可持续重复沉积与蚀刻的过程,直至达到所需总外延层堆栈厚度,如图1D所示。举例而言,可重复非含碳硅层沉积/含碳硅层沉积/非含碳硅层沉积/蚀刻的次序约80次,以使总外延层堆栈厚度达到约
Figure A20078002848700121
于其它实施例中,可省略下方种外延层沉积的步骤,因此所重复的形成次序为含碳硅层沉积/非含碳硅层沉积/蚀刻,以达到所需的总外延层堆栈厚度。
尽管上述实施例举出了特定的实施方法,一般而言,外延层堆栈(具有含碳外延层与非含碳外延层)的厚度范围约为
Figure A20078002848700122
到约
Figure A20078002848700123
较佳约从
Figure A20078002848700124
到约为
Figure A20078002848700125
更佳地从约
Figure A20078002848700126
到约
Figure A20078002848700127
于特定一实施例中,可采用约的层堆栈厚度。
通过控制(1)埋覆的含碳外延层相对于非含碳外延材料的膜厚度;及(2)含碳外延层中的碳浓度,可控制及/或决定最后外延层堆栈中的平均碳浓度。举例而言,于部分实施例中,尽管只在含碳外延层形成的步骤中进行碳沉积,含碳外延层中的碳会快速且均匀地沿着堆栈层(例如种外延层、含碳层、覆盖层)的深度扩散。
图2中的图标200绘示了依据本发明所形成堆栈层(例如图1C所示),沿着非含碳种外延层、含碳晶层与非含碳覆盖外延层的碳浓度。如图2所示,由线条202所标示的碳浓度,是沿着堆栈层的深度均匀分布(其中X轴代表堆栈层的深度,Y代表沿堆栈层的碳分布)。依据本发明的部分实施例,可通过控制含碳层及/或种外延层及/或覆盖层的相对厚度,亦或控制含碳层中的初使碳浓度,进而控制堆栈层中碳浓度。
于部分实施例中,可从含碳外延层相对于非含碳外延层的厚度,估计最后的碳浓度。举例而言,图3中的图标300是绘示了当种外延层与覆盖外延层的沉积时间为固定时(例如图1C所示),依据不同含碳外延层的沉积时间,所获得的取代碳浓度(substitutioanl carbon,SC)。如图3中的线条302所示,层堆栈中的碳浓度是正比于含碳外延层的沉积时间。因此,依据本发明的部分实施例,通过控制含碳累积层的沉积时间,可进而控制在种外延层/含碳层/覆盖层堆栈中,或者是夹置于中间的碳浓度。
在一个或多个实施例中,外延层中的标的碳浓度其范围可由约200ppm到5原子百分率(atomic percent,at%),较佳由约0.5at%到2at%,例如约1.5at%。亦可使用其它标的浓度。于部分实施例中,外延层中(例如图1A-1D中的层104)的碳浓度可呈渐层变化。
含碳硅层中所含的碳,一般都位于紧接在含硅层沉积之后的晶格裂缝中。初始碳浓度,或说,含碳层中所沉积(as-deposited)的碳含量可约为10at%或更少,较佳少于约5at%,更佳约0.5at%-约3at%,例如2at%。若裂缝碳并未全部进入晶格的取代位置的话,利用退火(如下述)或是在(后续)工艺步骤中的自然扩散,可使外延层可包含至少一部分碳。无论是位在堆栈中的裂缝或所取代的碳,外延层堆栈中的总碳浓度包含所有的碳。高解析X光绕射(High resolution X-ray diffraction,XRD)可用来决定取代碳的浓度与厚度。二次离子质谱仪(Secondary Ion Mass Spectroscopy,SIMS)可用来测定外延堆栈中的总碳浓度(所取代的与裂缝中的)。取代碳浓度可等于或小于总碳浓度。合适的退火过程可包含尖峰退火(spikeanneal),例如快速热处理系统(Rapid thermal process system,RTP),激退火(laser annealing)或以大气气体(例如氧气、氮气、氢气、氩气,氦气或上述的任意组合)进行热退火处理。于部分实施例中,退火过程在温度约800℃-1200℃下进行,较佳约1050℃-约1100℃。可在非含碳覆盖层106沉积后,或在其它各工艺步骤后(例如在整个膜堆栈沉积之后),进行此退火过程。
图4的流程图是绘示用以形成具有标的碳浓度的外延层堆栈的示范方法400。请参照图4,在步骤401中,将基材放入处理室中,并以低于或约为800℃的温度加热。于部分实施例中,在外延膜的形成过程中可采用较低温度范围,例如低于750℃、低于700℃或低于650℃。
于步骤402中,含碳外延层则形成于基材之上。可依据外延层堆栈的标的碳浓度,选择含碳外延层的初始碳浓度、厚度及/或沉积时间。接着,在步骤403中,在含碳外延层上则形成一非含碳外延层。于部分实施例中,非含碳外延层具有足够的厚度,以保护下方的含碳层免于后续蚀刻。
于步骤404中,利用蚀刻剂(例如氯化氢及/或氯气)对基材进行蚀刻。如所述,非含碳外延层可保护下方的含碳外延层,免于被蚀刻气体蚀刻。在蚀刻步骤后,亦可采取一清洁步骤(未绘示),以移除处理室中任何蚀刻气体及/或其它多余的气体。
于步骤405中,则是判断是否达到所需的外延层堆栈厚度。若达到的话,则步骤406为结束工艺。否则,工艺则再返回到步骤402,以在基材上沉积额外的外延材料。
于另一实施例中,工艺循环可包含(1)非含碳硅(Si)层沉积步骤;(2)含碳硅(Si:C)层沉积步骤;(3)非含碳硅(Si)层沉积步骤;(4)蚀刻步骤;以及(5)清洁步骤。可重数个次工艺循环以达到总外延层堆栈厚度。于一特定实施例中,重复约80次的工艺循环,可获得外延材料约
Figure A20078002848700141
的外延层堆栈。于此实施例中,每次Si或Si:C的沉积可产生约的外延材料,而其中一部分则被后续的蚀刻步骤蚀刻(例如约
Figure A20078002848700143
)。在重复约80次后,剩下的外延材料(例如在硅沟上)则约为
Figure A20078002848700144
(而在基材的介电区上则少量或没有沉积)。于另一实施例中,可采用约30-100纳米的外延层堆栈厚度范围。
于部分实施例中,外延层堆栈及/或所沉积的含碳硅层(as-depositedSi:Clayer)中的取代碳浓度范围约为0.5-2.0at%。当Si:C层夹在硅(Si)层中间时,整体堆栈碳浓度则视Si层厚度与Si:C层厚度相较降低。依据工艺过程,取代碳浓度可等于或小于总碳浓度。
示例的气体流速范围包含就二氯硅烷、硅烷、二硅乙烷或其它高级硅烷(high order silane)的硅源而言,流速约5-500sccm,就单-甲基硅甲烷(mono Methylsilane)的碳源而言,流速约1-30sccm,就氢气或氮气的载流气体而言,流速约3-30slm。于蚀刻过程中,示例的氯化氢流速约为20-1000sccm,而氯气流速约为10-500sccm。
于一特定的实施例中,在每一蚀刻工艺步骤中(除了清洁步骤外),可以约相同的流速(例如以约300sccm流速或另一合适的流速)流入氯化氢,而仅于蚀刻步骤中流入氯气(例如以约30sccm流速或另一合适的流速)。可在每一沉积步骤中,流入二硅乙烷(例如以约7sccm流速或另一合适的流速),可于Si:C沉积步骤中流入甲基硅甲烷(例如以约2.2sccm流速或另一合适的流速)。于每一工艺循环步骤中,可以约20slm流速或另一合适的流速流入氮气载流气体,并于每一清洁步骤中,增加至约30slm或另一合适的流速。于部分实施例中,在第一硅沉积步骤中(例如沉积约4秒),沉积约
Figure A20078002848700151
的硅,在Si:C硅沉积步骤中(例如沉积约7秒),沉积约
Figure A20078002848700152
的Si:C,在第二硅沉积步骤中(例如沉积约10秒),沉积约
Figure A20078002848700153
的硅,而在蚀刻步骤中(例如蚀刻约13秒),移除约
Figure A20078002848700154
的外延材料。可采用合适的清洁时间(例如约10秒)。在沉积与清洁过程中,工艺温度约600℃而处理室压力约10Torr,而在蚀刻过程中,压力约13Torr。如所述,亦可采用其它工艺条件。
虽然本发明已以实施例揭露如上,但其非用以限定本发明。任何熟习此技艺者,在不脱离本发明的精神和范围内,当可对上述装置与方法作各种的更动与润饰。因此尽管本发明已以示范实施例揭示,当应知其它实施例亦落入本发明的范围与精神,如下述的权利要求所界定者。

Claims (21)

1.一种在基材上形成外延层堆栈的方法,其至少包含:
选择该外延层堆栈的一标的碳浓度;
在该基材上形成一含碳硅层,并依据所选择的该标的碳浓度,选择该含碳硅层所具有的一初始碳浓度、一厚度以及一沉积时间的至少一者;以及
在蚀刻前,在该含碳硅层上形成一非含碳硅层。
2.如权利要求1所述的方法,其中该标的碳浓度是介于约200ppm与5at%之间。
3.如权利要求1所述的方法,其中该初始碳浓度是介于约0.5at%至10at%之间。
4.如权利要求1所述的方法,更包含于该含碳硅层与该基材之间,形成一非含碳外延层。
5.如权利要求1所述的方法,其中该外延层堆栈具有一厚度介于约
Figure A2007800284870002C1
Figure A2007800284870002C2
之间。
6.如权利要求1所述的方法,其中该初始碳浓度是大于或等于该标的碳浓度。
7.如权利要求1所述的方法,更包含在该含碳硅层上形成该非含碳硅层后,蚀刻该外延层堆栈。
8.如权利要求7所述的方法,其中蚀刻该外延层堆栈包含以含有氯气的一蚀刻气体蚀刻该外延层堆栈。
9.如权利要求7所述的方法,其中该非含碳硅层具有一厚度,以避免该蚀刻气体与该含碳硅层之间发生一反应。
10.如权利要求1所述的方法,其中形成该含碳硅层与该非含碳硅层中的至少一者,是在低于或约700℃的温度下进行。
11.一种形成外延层堆栈的方法,其至少包含:
选择该外延层堆栈的一标的碳浓度;以及
以交替沉积含碳硅层与非含碳硅层,形成该外延层堆栈;
其中依据该含碳硅层的一总厚度、一初始碳浓度以及一沉积时间中的至少一者,达到该标的碳浓度。
12.如权利要求11所述的方法,其中该标的碳浓度介于约200ppm与5at%之间。
13.如权利要求11所述的方法,其中每一含碳硅层的该初始碳浓度是介于约0.5at%至10at%之间。
14.如权利要求11所述的方法,更包含于一第一含碳硅层与该基材之间,形成一非含碳外延层。
15.如权利要求11所述的方法,其中该外延层堆栈的厚度介于约
Figure A2007800284870003C2
之间。
16.一种用以控制形成于一基材上的一外延层堆栈中的碳浓度的方法,其至少包含:
决定该外延层堆栈的一所需碳浓度;以及
形成该外延层堆栈,通过:
在该基材上形成一含碳外延层;以及
于该含碳外延层上形成一非含碳覆盖层;
其中依据该外延层堆栈的该所需的碳浓度,选择该含碳外延层的一厚度。
17.如权利要求16所述的方法,更包含于该含碳外延层与该基材之间,形成一种外延层。
18.如权利要求16所述的方法,其中该标的碳浓度介于约200ppm与5at%之间。
19.如权利要求16所述的方法,其中该含碳外延层的厚度介于约
Figure A2007800284870004C1
Figure A2007800284870004C2
之间。
20.如权利要求16所述的方法,更包含以氯气蚀刻该外延层堆栈。
21.如权利要求16所述的方法,更包含形成该外延层堆栈的额外的交替含碳层与非含碳层。
CNA2007800284872A 2006-07-31 2007-07-31 形成含碳外延硅层的方法 Pending CN101496153A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US83477306P 2006-07-31 2006-07-31
US60/834,773 2006-07-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201410226203.2A Division CN103981568A (zh) 2006-07-31 2007-07-31 形成含碳外延硅层的方法

Publications (1)

Publication Number Publication Date
CN101496153A true CN101496153A (zh) 2009-07-29

Family

ID=38997702

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410226203.2A Pending CN103981568A (zh) 2006-07-31 2007-07-31 形成含碳外延硅层的方法
CNA2007800284872A Pending CN101496153A (zh) 2006-07-31 2007-07-31 形成含碳外延硅层的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410226203.2A Pending CN103981568A (zh) 2006-07-31 2007-07-31 形成含碳外延硅层的方法

Country Status (7)

Country Link
US (1) US8029620B2 (zh)
JP (1) JP5090451B2 (zh)
KR (1) KR101160930B1 (zh)
CN (2) CN103981568A (zh)
DE (1) DE112007001814T5 (zh)
TW (1) TWI379347B (zh)
WO (1) WO2008016650A2 (zh)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
TW200805458A (en) * 2006-03-24 2008-01-16 Applied Materials Inc Carbon precursors for use during silicon epitaxial film formation
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8685845B2 (en) * 2010-08-20 2014-04-01 International Business Machines Corporation Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
CN102386067B (zh) * 2010-08-31 2013-12-18 中国科学院上海微系统与信息技术研究所 有效抑制自掺杂效应的外延生长方法
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
WO2012102755A1 (en) 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
EP2555235B1 (en) 2011-08-02 2014-06-18 Nxp B.V. Method of manufacturing an IC comprising a plurality of bipolar transistors and IC comprising a plurality of bipolar transistors
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
JP5741382B2 (ja) * 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
JP5792101B2 (ja) * 2012-03-15 2015-10-07 東京エレクトロン株式会社 積層半導体膜の成膜方法
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN107731735B (zh) * 2017-11-21 2020-02-14 长江存储科技有限责任公司 一种通过温和湿法刻蚀改善seg生长形态的seg制备工艺
JP2023184044A (ja) * 2022-06-17 2023-12-28 信越半導体株式会社 半導体ウェーハの製造方法、及び半導体ウェーハ

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS62243144A (ja) * 1986-04-15 1987-10-23 Dainippon Printing Co Ltd 光学的記録媒体および光学的記録方法
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4865659A (en) * 1986-11-27 1989-09-12 Sharp Kabushiki Kaisha Heteroepitaxial growth of SiC on Si
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
US5112429A (en) * 1990-08-17 1992-05-12 Costas Dan N Labeling apparatus
JP2880322B2 (ja) 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
AU2250392A (en) * 1991-06-12 1993-01-12 Case Western Reserve University Process for the controlled growth of single-crystal films of silicon carbide polytypes on silicon carbide wafers
US5227330A (en) 1991-10-31 1993-07-13 International Business Machines Corporation Comprehensive process for low temperature SI epit axial growth
JPH05175141A (ja) * 1991-12-26 1993-07-13 Fujitsu Ltd 気相エピタキシャル成長装置および成長方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5236545A (en) * 1992-10-05 1993-08-17 The Board Of Governors Of Wayne State University Method for heteroepitaxial diamond film development
JP3255469B2 (ja) 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
WO1996015550A1 (en) * 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
JPH08288215A (ja) * 1995-04-17 1996-11-01 Nippon Steel Corp 半導体基板の製造方法およびその半導体基板
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP2953567B2 (ja) * 1997-02-06 1999-09-27 日本電気株式会社 半導体装置の製造方法
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6022587A (en) 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6019838A (en) * 1998-01-05 2000-02-01 Memc Electronic Materials, Inc. Crystal growing apparatus with melt-doping facility
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
JP2002505532A (ja) 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FR2779572B1 (fr) 1998-06-05 2003-10-17 St Microelectronics Sa Transistor bipolaire vertical a faible bruit et procede de fabrication correspondant
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP3516623B2 (ja) * 1999-01-14 2004-04-05 松下電器産業株式会社 半導体結晶の製造方法
JP3723396B2 (ja) * 1999-02-23 2005-12-07 サンゴバン・ティーエム株式会社 高純度結晶質無機繊維及びその製造方法
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4556329B2 (ja) 1999-04-20 2010-10-06 ソニー株式会社 薄膜形成装置
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FR2801420B1 (fr) 1999-11-23 2002-04-12 St Microelectronics Sa Transistor bipolaire vertical a faible bruit basse frequence et gain en courant eleve, et procede de fabrication correspondant
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100373853B1 (ko) 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US20020163013A1 (en) 2000-09-11 2002-11-07 Kenji Toyoda Heterojunction bipolar transistor
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP3547419B2 (ja) 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US6576535B2 (en) * 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
AU2002305733A1 (en) 2001-05-30 2002-12-09 Asm America, Inc Low temperature load and bake
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030066486A1 (en) 2001-08-30 2003-04-10 Applied Materials, Inc. Microwave heat shield for plasma chamber
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR20030035152A (ko) * 2001-10-30 2003-05-09 주식회사 하이닉스반도체 반도체웨이퍼 제조방법
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
TWI276161B (en) * 2001-12-21 2007-03-11 Memc Electronic Materials Ideal oxygen precipitating silicon wafers with nitrogen/carbon stabilized oxygen precipitate nucleation centers and process for making the same
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (ja) 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US6716719B2 (en) 2002-05-29 2004-04-06 Micron Technology, Inc. Method of forming biasable isolation regions using epitaxially grown silicon between the isolation regions
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
JP3872027B2 (ja) 2003-03-07 2007-01-24 株式会社東芝 クリーニング方法及び半導体製造装置
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP2005167064A (ja) 2003-12-04 2005-06-23 Sharp Corp 不揮発性半導体記憶装置
US7045432B2 (en) 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7071117B2 (en) 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US7230274B2 (en) 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
KR100532509B1 (ko) 2004-03-26 2005-11-30 삼성전자주식회사 SiGe를 이용한 트렌치 커패시터 및 그 형성방법
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
KR100625175B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100607409B1 (ko) 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
TWI267951B (en) * 2004-09-30 2006-12-01 Taiwan Semiconductor Mfg A device having multiple silicide types and a method for its fabrication
US7560322B2 (en) 2004-10-27 2009-07-14 Northrop Grumman Systems Corporation Method of making a semiconductor structure for high power semiconductor devices
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
EP1945836A4 (en) 2005-10-05 2009-12-02 Applied Materials Inc METHOD AND DEVICE FOR FORMING AN EPITACTIC FILM
TW200805458A (en) 2006-03-24 2008-01-16 Applied Materials Inc Carbon precursors for use during silicon epitaxial film formation
WO2007117583A2 (en) 2006-04-07 2007-10-18 Applied Materials Inc. Cluster tool for epitaxial film formation
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
KR101369355B1 (ko) 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법

Also Published As

Publication number Publication date
TWI379347B (en) 2012-12-11
US8029620B2 (en) 2011-10-04
CN103981568A (zh) 2014-08-13
DE112007001814T5 (de) 2009-06-04
US20080022924A1 (en) 2008-01-31
JP2009545886A (ja) 2009-12-24
KR20090037468A (ko) 2009-04-15
WO2008016650A2 (en) 2008-02-07
TW200818274A (en) 2008-04-16
JP5090451B2 (ja) 2012-12-05
KR101160930B1 (ko) 2012-06-29
WO2008016650A3 (en) 2008-04-10

Similar Documents

Publication Publication Date Title
CN101496153A (zh) 形成含碳外延硅层的方法
CN101116173B (zh) 在硅外延薄膜形成时使用氯气和/或氯化氢
US7588980B2 (en) Methods of controlling morphology during epitaxial layer formation
CN102176411B (zh) 在硅外延薄膜形成时使用氯气和/或氯化氢
CN101401202B (zh) 选择性沉积
KR102073170B1 (ko) 에피택셜 도핑된 게르마늄 주석 합금 형성 방법
TWI400745B (zh) 選擇性磊晶製程控制
TW202135319A (zh) 具有經摻雜半導體層之結構及用於形成上述結構之方法及系統
CN108878256A (zh) 形成含硅外延层的方法和相关半导体装置结构
US20080026149A1 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
CN101404250A (zh) 硅碳外延层的选择性形成
JP2009521801A (ja) ドープされた半導体物質のエピタキシャル堆積
KR20130044312A (ko) 시클로헥사실란을 이용한 박막 및 이의 제조방법
CN114551229A (zh) 提高选择性外延生长的生长速率的方法
TWI738207B (zh) 用於金屬矽化物沉積的方法及設備
TW201826351A (zh) 製造半導體元件的方法
KR20200073452A (ko) 저온 실리콘 절연막 증착 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20090729