CN101496144B - Gas switching section including valves having different flow coefficients for gas distribution system - Google Patents

Gas switching section including valves having different flow coefficients for gas distribution system Download PDF

Info

Publication number
CN101496144B
CN101496144B CN200780002304.XA CN200780002304A CN101496144B CN 101496144 B CN101496144 B CN 101496144B CN 200780002304 A CN200780002304 A CN 200780002304A CN 101496144 B CN101496144 B CN 101496144B
Authority
CN
China
Prior art keywords
gas
switching valve
fast switching
gas passage
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200780002304.XA
Other languages
Chinese (zh)
Other versions
CN101496144A (en
Inventor
迪安·J·拉松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101496144A publication Critical patent/CN101496144A/en
Application granted granted Critical
Publication of CN101496144B publication Critical patent/CN101496144B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K19/00Arrangements of valves and flow lines specially adapted for mixing fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0402Cleaning, repairing, or assembling
    • Y10T137/0491Valve or valve element assembling, disassembling, or replacing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture

Abstract

A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.

Description

The gas switching part that comprises the valve with different flow coefficient for gas distributing system
Background technology
Semiconductor structure is processed in plasma processing, and plasma processing comprises plasma processing chamber, by processing gas, provides into the gas source of this chamber with by the isoionic energy source of this processing γ-ray emission.In such equipment, by comprising the technical finesse semiconductor structure of dry etching process, depositing operation (as metal, dielectric and semi-conductive chemical vapour deposition (CVD) (CVD), physical vapour deposition (PVD) or plasma reinforced chemical vapour deposition (PECVD) and resist stripping technology).Different processing gas is for these treatment technologies, and the semiconductor structure of processing different materials.
Summary of the invention
Provide a kind of and operate to vacuum chamber (as plasma processing chamber), to provide the gas distributing system of the gas of selection.These can be etching gas composition and/or deposition gases composition.The execution mode of this gas distributing system has fast gas switching capabilities, allows thus this system that the gas providing to this vacuum chamber is provided at short notice.Gas switches and can preferably in the situation that undesirable pressure oscillation or flowing instability do not appear in every kind of gas, not complete.Some execution modes of this gas distributing system can provide to the zones of different of the inside of this vacuum chamber the air-flow that comprises gas with various chemicals and/or flow rate of selection.
A kind of execution mode of the gas switching part for gas distributing system is provided, this system is for being provided to plasma processing chamber by processing gas, this gas switching part comprises the first gas passage, is suitable for being communicated with the first gas line and this plasma processing chamber fluid, the second gas passage, is suitable for being communicated with this first gas line and bypass line fluid, along the first fast switching valve of this first gas passage, can operate to open and close this first gas passage, this first fast switching valve has first flow coefficient, with the second fast switching valve along this second gas passage, can operate to open and close this second gas passage, this second fast switching valve has the second coefficient of discharge that is different from this first flow coefficient, thereby when air-flow is by closing this first fast switching valve and opening this second fast switching valve and be switched to this second gas passage from this first gas passage, or when closing this second gas passage and open this first gas passage and be switched to this first gas passage from this second gas passage, the inlet pressure of this first fast switching valve is substantially equal to the inlet pressure of this second fast switching valve.
Be provided for another execution mode of the gas switching part of this gas distributing system, this system is provided to gas the plasma processing chamber that comprises the gas distribution member with central authorities and fringe region, flows and separate each other in these two regions.This gas switched system comprises the first gas passage, is suitable for being communicated with the fringe region fluid of the gas distribution member of the first gas line and this plasma processing chamber, the second gas passage, is suitable for being communicated with this first gas line and bypass line fluid, the 3rd gas passage, is suitable for being communicated with the middle section fluid of the second gas line and this gas distribution member, the 4th gas passage, is suitable for being communicated with this second gas line and this bypass line fluid, the 5th gas passage, is suitable for being communicated with the 3rd gas line and this middle section fluid, the 6th gas passage, is suitable for being communicated with the 3rd gas line and this bypass line fluid, the 7th gas passage, is suitable for being communicated with the 4th gas line and this fringe region fluid, the 8th gas passage, is suitable for being communicated with the 4th gas line and this bypass line fluid, respectively along the first and second fast switching valves of this first and second gas passage, this first fast switching valve can operate to open and close this first gas passage and have first flow coefficient, this second fast switching valve can operate to open and close this second gas passage and have the second coefficient of discharge that is different from this first flow coefficient, thereby when by air-flow when this first gas passage is switched to this second gas passage or be switched to this first gas passage from this second gas passage, the inlet pressure of this first fast switching valve is substantially equal to the inlet pressure of this second fast switching valve, respectively along the third and fourth fast switching valve of this third and fourth gas passage, the 3rd fast switching valve can operate to open and close the 3rd gas passage, and there is the 3rd flow coefficient, the 4th fast switching valve can operate to open and close the 4th gas passage, and there is the 4th flow coefficient that is different from the 3rd flow coefficient, thereby when air-flow is when the 3rd gas passage is switched to the 4th gas passage or be switched to the 3rd gas passage from the 4th gas passage, the inlet pressure of the 3rd fast switching valve is substantially equal to the inlet pressure of the 4th fast switching valve, respectively along the 5th and the 6th fast switching valve of the 5th and the 6th gas passage, the 5th fast switching valve can operate to open and close this first gas passage, and there is the 5th flow coefficient, the 6th fast switching valve can operate to open and close the 6th gas passage, and there is the 6th flow coefficient that is different from the 5th flow coefficient, thereby when air-flow is when the 5th gas passage is switched to the 6th gas passage or be switched to the 5th gas passage from the 6th gas passage, the inlet pressure of the 5th fast switching valve is substantially equal to the inlet pressure of the 6th fast switching valve, respectively along the 7th and the 8th fast switching valve of the 7th and the 8th gas passage, the 7th fast switching valve can operate to open and close the 7th gas passage, and there is the 7th flow coefficient, the 8th fast switching valve can operate to open and close the 8th gas passage, and there is the 8th flow coefficient that is different from the 7th flow coefficient, thereby when air-flow is when the 7th gas passage is switched to the 8th gas passage or be switched to the 7th gas passage from the 8th gas passage, the inlet pressure of the 8th fast switching valve is substantially equal to the inlet pressure of the 7th fast switching valve.
A kind of method of processing semiconductor chip in comprising the plasma processing chamber of showerhead electrode is provided, and this showerhead electrode comprises central authorities and fringe region.The execution mode of the method comprises central authorities and the fringe region that a) the first processing gas is provided to this showerhead electrode assembly, the second processing gas is transferred to bypass line simultaneously, wherein this plasma processing chamber comprises semiconductor chip, and it comprises at least one layer and covers the patterning Etching mask on this layer; B) by first processing γ-ray emission the first plasma and (i) at least one feature of etching or (ii) form polymer deposition on this mask in this layer; C) thus switching this first and second processes flowing of gas this second is processed to gas is provided to the central authorities of this showerhead electrode assembly and fringe region and this first is processed to gas and transfer to this bypass line; D) by this, second process γ-ray emission second plasma and (iii) at least one feature of etching or (iv) form polymer deposition on this layer and this mask in this layer; E) thus switching this first and second processes flowing of gas this first is processed to gas provides into this plasma processing chamber and this second is processed to gas and transfer to this bypass line; And f) by a)-e) to this substrate repeatedly.
Also provide a kind of manufacture for processing gas and be provided to the method for gas switching part of the gas distributing system of plasma processing chamber.The execution mode of the method comprises that the first gas passage that edge is suitable for being communicated with the first gas line and this plasma processing chamber fluid arranges the first fast switching valve; Along the second gas passage that is suitable for being communicated with this first gas line and bypass line fluid, the second fast switching valve is set; And regulate the first flow coefficient of this first fast switching valve and/or regulate the second coefficient of discharge of this second fast switching valve, thereby this first and second flow coefficient differs from one another, and when air-flow is when this first gas passage is switched to this second gas passage or be switched to this first gas passage from this second gas passage, the inlet pressure of this first fast switching valve is substantially equal to the inlet pressure of this second fast switching valve.
Accompanying drawing explanation
Fig. 1 is the cutaway view of the demonstration execution mode of the plasma processing that can be used for of the preferred implementation of this gas distributing system.
Fig. 2 illustrates the preferred implementation of this gas distributing system.
Fig. 3 describes the preferred implementation of the gas supply section of this gas distributing system.
Fig. 4 describes the preferred implementation of the flow control part of this gas distributing system.
Fig. 5 describes the first preferred implementation of the gas switching part of this gas distributing system.
Fig. 6 describes the second preferred implementation of this gas switching part of this gas distributing system.
Embodiment
For the treatment of the plasma processing of semi-conducting material, as for example, at the upper device forming of semiconductor chip (silicon wafer), comprise plasma processing chamber and gas distributing system, it provides processing gas into this plasma processing chamber.In plasma treatment process, this gas distributing system can pass through substrate surface gas is dispensed to single district (region) or a plurality of district (region).This gas distributing system can comprise that flow controller is to control same treatment gas or different disposal gas, or this identical or different admixture of gas is to the flow rate in these regions, thereby allow during the course adjusting gas flow and gas composition in whole on-chip consistency.
Although compare with single sound zone system, multi-region gas distributing system can provide improved flow control, and expectation provides a kind of like this multi-region system that substrate that permission can change this gas composition and/or this gas flow is at short notice processed the device of operation that has.
Provide gas distributing system, for provide gas with various to form and/or flow rate to chamber.In preferred implementation, this gas distributing system is suitable for being communicated with internal vacuum chamber fluid, as the plasma processing chamber of plasma processing, and provides the ability that gas with various chemicals and/or specific gas flow rate are provided to this vacuum chamber in processing operating process.This plasma processing can be low-density, intermediate density or high density plasma reactors, comprises energy source, and it uses RF energy, microwave, magnetic field etc. to produce plasma.For example, this high-density plasma can be at transformer-coupled plasma (TCP tM) produce in reactor, it is also referred to as inductive plasma reactor, electron cyclotron resonance (ECR) plasma reactor, condenser type discharge reactor etc.The exemplary plasma reactor that the execution mode of this gas distributing system can be used for comprises Exelan tMplasma reactor, as 2300Excelan tMplasma reactor, can be from being positioned at Fremont, and the Lam Research Corporation of California obtains.During plasma etch processes, can be to applying multi-frequency in conjunction with electrode and electrostatic chuck substrate support.Or in double frequency plasma reactor, different frequencies can be applied on this substrate support and electrode, as showerhead electrode, itself and this substrate separates to form plasma generation district.
A preferred implementation of this gas distributing system provides the inside into this vacuum chamber (as plasma processing chamber) by the first gas via single region or a plurality of region, preferably at least via the central authorities and the fringe region that close on the surperficial gas distribution member of pending substrate exposure.In this plasma processing chamber, these central authorities and fringe region radially separate mutually, and flow insulated preferably.This gas distributing system can shift to vacuum chamber bypass line the second gas that is different from this first gas simultaneously.This bypass line can be communicated with fluids such as vacuum pumps.A preferred implementation, this first gas is that the first processing gas and this second gas are different disposal gas.For example, this first gas can be etch gas chemistries or deposition gases chemicals, and this second gas can be different etch gas chemistries or deposition gases chemicals.This gas distributing system can provide this first gas to divide to be clipped to the different controlled flow rate of these central authorities and fringe region simultaneously, and simultaneously by this this bypass line of the second gas turns, and vice versa.By turning to this bypass line by one in these gases, can realize at short notice the conversion of the gas that is fed to this vacuum chamber.
This gas distributing system comprises switching device shifter, and it allows short time endogenous supply to comprising that gas between first and second gases of vacuum chamber in single region or a plurality of regions switches or gas is changed.For multizone system, this gas distributing system can be provided to these central authorities and fringe region by this first gas, this second gas is transferred to this bypass line simultaneously, then switch at short notice this gas and distribute, thereby this second gas is provided to these central authorities and fringe region and this first gas is transferred to this bypass line.This gas distributing system alternately provides the inside into this vacuum chamber by this first and second gas, each lasting required time, to allow conversion fast between the different disposal operation of using gas with various chemicals, for example, process the alternate steps of the method for semiconductor device.In a preferred embodiment, these method steps can be different etching steps, for example, pulsed etch and deposition step, etching step faster, as main etching, and relatively slow etching step, as crossed etching step; Etching step and material deposition steps; Or different materials deposition step, it deposits to different materials on substrate.
In the preferred implementation of this gas distributing system, the volume of the gas composition in vacuum chamber in restricted area, preferably plasma restricted area, can replace (that is, being washed out) by another gas composition of introducing at short notice this this vacuum chamber.The valve that quick switching capability is provided by providing in this gas distributing system in such gas replacement can preferably complete with interior at 1s, more preferably in about 200ms.For the plasma processing chamber for the treatment of 200mm or 300mm wafer, these ion restricted areas can have about 1/2 and be raised to the volume of about 4 liters.These ion restricted areas can be formed by a pile limit collar, as are disclosed in total United States Patent (USP) NO.5, the parts in 534,751, and it is whole and be combined in here by reference.
Fig. 1 described this gas distributing system execution mode 100 can for exemplary semi-conducting material plasma processing 10.This equipment 10 comprises vacuum chamber or plasma processing chamber 12, and it has the inside that comprises substrate support 14, at substrate during plasma treatment 16, is supported on this strutting piece.This substrate support 14 comprises clamping device, and preferably electrostatic chuck 18, and it can operate, during processing, this substrate 16 is clamped in to this substrate support 14.This substrate can be by focusing ring and/or edge ring, ground connection extension or miscellaneous part around, as disclosed parts in total U.S. Patent Application Publication No.US2003/0029567, it is whole and be combined in here by reference.
In a preferred embodiment, this plasma processing chamber 12 comprises plasma restricted area, and for processing 200mm or 300mm wafer, this region has about 1/2 and is raised to about 4 liters, preferably about 1 is raised to about 3 liters.For example, this plasma processing chamber 12 can comprise that limit collar layout (as disclosed in total U.S. Patent No. 5,534,751) is to form these ion restricted areas.This gas distributing system can preferably be less than in about 200ms being less than in time of about 1 second, replaces these these volumes of gas of ion restricted area, and there is no diffuse in reverse direction with another kind of gas.The fluid that this limiting mechanism can limit inside from this plasma volume to this plasma processing chamber 12 part outside this plasma volume is communicated with.
This substrate 16 can comprise base material, as silicon wafer; The intermediate layer of pending (for example etching) material on this base material; With the mask layer on this intermediate layer.This intermediate layer can be conduction, nonconducting or semiconductive material.This mask layer can be the photoresist material of patterning, and it has patterns of openings, in this intermediate layer and/or the feature that needs of one or more other layer of etching, for example, hole, via hole and/or groove.This substrate can comprise the extra layer of conduction between this basic unit and this mask layer, non-conductive or semiconductive material, depends on the type of the semiconductor device forming on this base material.
Exemplary dielectric material that can be processed is that for example, doped silicon oxide, as silicon fluoride oxide; Non-doped silicon oxide, as silicon dioxide; Spin-coating glass; Silicate glass; Doping or the hot Si oxide of non-doping; With doping or non-doping TEOS depositing silicon oxide.This dielectric material can be low-k materials, the selectable k value of its tool.Such dielectric material can cover conduction or semi-conductive layer, as polysilicon; Metal, as aluminium, copper, titanium, tungsten, Mo and Mo alloys; Nitride, as titanium nitride; And metal silicide, as titanium silicide, tungsten silicide and molybdenum silicide.
Exemplary plasma process apparatus 10 shown in Fig. 1 comprises showerhead electrode assembly, and it has the supporting bracket 20 that forms this plasma chamber walls and the shower nozzle 22 that is connected to this supporting bracket.Baffle assembly between this shower nozzle 22 and this supporting bracket 20 will process gas and be assigned to the back side 28 of this shower nozzle equably.This baffle assembly can comprise one or more baffle plates.In this embodiment, this baffle assembly comprises baffle plate 30A, 30B and 30C.Between this baffle plate 30A, 30B and 30C; And collection chamber (plenum) 48A, 48B and 48C that between this baffle plate 30C and shower nozzle 22, formation is opened.This baffle plate 30A, 30B and 30C and shower nozzle 22 comprise through channel (through passage), for processing the inside of gas inflow plasma processing chamber 12.
In this embodiment, the collection chamber between this plate 20 and this baffle plate 30A and collection chamber 48A, 48B between this baffle plate 30A, 30B and 30C and 48C are divided into middle section 42 and fringe region 46 by seal 38A, 38B, 38C and 38D (as O shape ring).This middle section 42 and fringe region 46 can provide the processing gas with gas with various chemicals separately and/or flow rate by gas distributing system 100, preferably under the control of controller 500.Gas is provided and is entered this middle section 42 by middle section source of the gas 40, and gas is to be provided and entered circular passage 44a and then enter this fringe region 46 by fringe region source of the gas 44.This processing gas flow is crossed the passage of this baffle plate 30A, 30B and 30C and this shower nozzle 22 and is entered the inside of this plasma processing chamber 12.This processing gas is excited as plasmoid by power supply in this plasma processing chamber 12, as RF source drive electrode 22, or the power drives electrode in this substrate support 14.When providing gas with various to form in this plasma processing chamber 12, the RF power that is applied to this electrode 22 can change, and preferably, being less than in the time of about 1s, is more preferably less than about 200ms.
In other preferred implementations, this plasma processing 10 can comprise gas ejector system, for processing gas is spurted into this plasma processing chamber.For example, this gas ejector system can have as total U.S. Patent Application Publication No.2001/0010257, U.S. Patent Application Publication No.2003/0070620, U.S. Patent No. 6,013,155 or U.S. Patent No. 6,270,862 disclosed structures, its each by reference its integral body be combined in here.This gas ejector system can comprise injector, and it is provided to processing gas the zones of different of plasma processing chamber.
Fig. 2 illustrates a preferred implementation, and wherein this gas distributing system 100 comprises gas supply section 200, flow control part 300 and the gas switching part 400 that mutual fluid is communicated with.This gas distributing system 100 preferably also comprises controller 500 (Fig. 1), to control this controller of communication connection to control this gas supply section 200, the operation of flow control part 300 and gas switching part 400.
In this gas distributing system 100, this gas supply section 200 can provide gas with various to this flow control part 300 via the first and second gas lines 235,245 separately, as the first and second processing gases.This first and second gas can have mutual different composition and/or specific gas flow rate.
This flow control part 300 can operate to control flow rate, and the composition that also regulates alternatively the gas with various that can be provided to this switching part 400.This flow control part 300 can be respectively provides this first and second gas of different flow rates and/or chemicals via passage 324,326 and 364,366 to this switching part 400.In addition, provide that (other gas is transferred to bypass line 50 simultaneously to this first gas of this plasma processing chamber 12 and/or the flow rate of the second gas and/or chemicals, it can be communicated with vacuum pump system fluid, as between turbine pump and roughing pump) can be different for this middle section 42 and this fringe region 46.So this flow control part 300 can provide required gas flow and/or gas chemistry at whole substrate 16, strengthen thus substrate and process homogeneity.
In this gas distributing system 100, this switching part 400 can operate with at short notice from this first gas be switched to this second gas with allow in single region or a plurality of region (for example, this middle section 42 and this fringe region 46) in by this second gas, replace this first gas, and this first gas is transferred to this bypass line simultaneously, or vice versa.This gas switching part 400 preferably can switch between this first and second gas, and each gas flow there will not be undesirable pressure oscillation and flow instability.If needed, this gas distributing system 100 can make this first and second gas keep the continuous volume flow rate of substantial constant by this plasma processing chamber 12.
Fig. 3 illustrates the preferred implementation of this gas supply section 200 of this gas distributing system 100.The gas supply section 200 of describing in Fig. 3 is described in the open No.2005/0241763 of total U. S. application, and it is whole and be combined in here by reference.This gas supply section 200 is preferably connected to this controller 500 to control the operation of flow control assembly, as valve and flow controller, to be provided forming of two or more gases that can be provided by this gas supply section 200.In this embodiment, this gas supply section 200 comprises a plurality of gas sources 202,204,206,208,210,212,214 and 216, and each is communicated with this first gas line 235 and these the second gas line 245 fluids.Like this, this gas supply section 200 can provide many required gas with various mixtures to this plasma processing chamber 12.A plurality of gas sources that are included in this gas distributing system 100 are not limited to the gas source of any specific quantity, but preferably include at least two different gas sources.This gas supply section 200 can comprise greater or less than the gas source in this embodiment that comprises shown in eight Fig. 3.For example, this gas supply section 200 can comprise two, three, four, five, ten, 12,16 or more gas sources.Can comprise pure gas by the gas with various that gas source provides separately, as O 2, Ar, H 2, Cl 2, N 2deng, and gaseous fluorine carbon compound and/or fluorinated hydrocarbon compound, as CF 4, CH 3f etc.In a preferred implementation, this plasma processing chamber is that etching chamber and this gas source 202-216 can provide Ar, O 2, N 2, Cl 2, CH 3, CF 4, C 4f 8and CH 3f or CHF 3(with its any applicable order).Can be based on the required technique of carrying out in this plasma processing chamber 12 is selected by gas source 202-216 provides separately specific gas, for example, specific dry ecthing and/or deposition of material technique.This gas supply section 200 can provide the flexibility widely of selecting about gas, provides these gases for carrying out etch process and/or deposition of material technique.
This gas supply section 200 preferably also comprises that at least one tuning gas source is to regulate this gas composition.This tuning gas can be, for example O 2, inert gas, as argon gas, or reactant gas, as fluorocarbons or fluorohydrocarbon gas, for example, C 4f 8.In the execution mode shown in Fig. 3, this gas supply section 200 comprises the first tuning gas source 218 and second tune gas source 219.As described below, this first tuning gas source 218 and second tune gas source 219 can provide tuning gas to regulate the composition of this first and/or second gas that is provided to this gas switching part 400.
In the execution mode of this gas supply section 200 shown in Fig. 3, volume control device 240 is preferably located in each of the gas passage 222,224,226,228,230,232,234 that is communicated with this gas source 202,204,206,208,210,212,214 and 216 fluids respectively and 236, and also in the gas passage 242,244 being communicated with this first tuning gas source 218 and these second tune gas source 219 fluids respectively.This volume control device 240 can operate to control the flow of the gas being provided by the gas source 202-216 being correlated with and 218,219.This volume control device 240 is mass flow controller (MFC) preferably.
In the execution mode shown in Fig. 3, valve 250,252 is located at the downstream of this gas source 202-216 along this gas passage.This valve 250,252 can open or close selectively, preferably under the control of this controller 500, to allow gas with various mixture to flow to this first gas line 235 and/or this second gas line 245.For example, by open and the one or more associated valve 252 of this gas source 202-216 (keeping closing with remaining valve being associated 252 of this gas source 202-216) simultaneously, the first admixture of gas can be provided to this first gas line 235.Similarly, by open and one or more associated valve 250 of other gas source 202-216 (keeping closing with remaining valve being associated 250 of this gas source 202-216) simultaneously, the second admixture of gas can be provided to this second gas line 245.Therefore, the various mixture of this first and second gas and mass flowrate can be provided to this first gas line 235 and this second gas line 245 by these gas supply section 200 controllable operatings.
In a preferred implementation, this gas supply section 200 can operate to provide this first and second gas respectively via this first gas line 235 and continuous the flowing of this second gas line 245.This first gas or this second gas flow to these ion processing chambers 12 and another kind of gas is transferred to this bypass line.This bypass line can be connected to vacuum pump etc.By the continuous flow of this first and second gas, the quick conversion providing into the processing gas of this plasma processing chamber can be provided this gas distributing system 100.
Fig. 4 illustrates the preferred implementation of this flow control part 300 of this gas distributing system 100.The flow control part 300 of describing in Fig. 4 is at the open No.10/835 of U. S. application, describes in 175.This flow control part 300 comprises first flow control section 305, it is communicated with these the first gas line 235 fluids from this gas supply section 200, with the second flow control section 315, it is communicated with these the second gas line 245 fluids from this gas supply section 200.This flow control part 300 can operate to control the ratio of this first gas that is provided to respectively this middle section 42 and fringe region 46, and this second gas is transferred to this bypass line, and the ratio of controlling this second gas that is provided to respectively this middle section 42 and fringe region 46, and this first gas is transferred to this bypass line.This first flow control section 305 is two these independent the first gas vent streams by this first gas stream of introducing at this first gas line 235, and this second flow control section 315 is divided into two these independent the second gas vent streams by this second gas of introducing at this second gas line 245.This first flow control section 305 comprises the first and second gas passages 324,326 that are communicated with this middle section 42 and fringe region 46 fluids respectively via this switched system 400, and this second flow control section 315 comprises the first and second gas passages 364,366 that are communicated with this middle section 42 and fringe region 46 fluids respectively via this switched system 400.
In preferred a layout, each of this first flow control section 305 and this second flow control section 315 comprises at least two flow restrictors.Each flow restrictor preferably has fixing confinement dimension for the gas flow by it.This flow restrictor is hole preferably.This flow restrictor restriction gas flow and be positioned at the upstream in these holes and keep the gas pressure of approximately constant near the region in these holes at this gas passage.Each of this first flow control section 305 and this second flow control section 315 preferably includes mesh, for example two, three, four, five or porous more, each preferably has different cross section confinement dimension, for example, and different diameters or different sectional areas.The confinement dimension in these holes is less than the sectional area of other parts of the gas flow paths of this gas distributing system 100.These holes are velocity of sound hole preferably.These gas flows preferably operate in the mobile system of key in this flow control part 300, thereby the conductance in this given hole is determined separately by its confinement dimension and entrance air pressure.Along with the conductance increase in this hole, through this hole, to realize the pressure drop of the given flow rate through this hole, reduce.
In the execution mode shown in Fig. 4, each comprises five holes 330,332,334,336 and 338 this first and second flow controls part 305,315.For example, these holes 330,332,334,336 and 338 can have respectively relevant confinement dimension, and for example one, one and half, two, three and three s' diameter.Therefore,, when gas flow occurs through at least two holes 336 and 338, these holes have approximately same total conductance.Or, reach whole four hole 330-336 and can open to provide the total conductance of hole 330-336 of comparing different ratios from the conductance in this hole 338, to this first gas flow of different ratios and this second gas flow are provided to this middle section 42 and this fringe region 46.For flow control part 305, valve 320 is communicated with gas passage 324,326,331 and 333 fluids, the quantity that makes to arrive the possible ratio of the first gas flow of this middle section 42 and this fringe region 46 and this second gas flow doubles, and reduces thus the quantity at the needed hole 330-338 of this system.Flow control part 315 has same structure to reduce thus the quantity of hole 330-338 required in this system.
Another execution mode can comprise the hole of varying number, for example two holes altogether; The second hole that comprises this hole 338 and alternative the plurality of hole 330-336.For example, this second hole can have the confinement dimension identical with this hole 338.At such execution mode, be provided to this first gas of this middle section 42 and this fringe region 46 and/or the flow-rate ratio of the second gas and be approximately 1: 1.
Valve 320 is preferably located at each the upstream of each hole 330-338 to control to the flow of this first and second gas in these holes.For example, in this first flow control section 305 and/or this second flow control section 315, this one or more valve 320 can open to allow this first gas and/or the second gas to flow to one or more relevant hole 330-336, and another valve 320 opens to allow this first gas and/or this second gas to flow to this hole 338.
In this first flow control section 305, these holes 330-336 is communicated with these gas passage 322 fluids.This gas passage 322 is divided into the first and second gas passages 324,326, and it is communicated with this gas switching part fluid.A pair of valve 320 is located in this first and second gas passage 324,326 to allow to control the one or more flows that flow to the first gas of this middle section 42 and/or this fringe region 46 through the hole 330-336 of this first flow control section 305.At another execution mode, this pair of valve 320 arranges along this gas passage 324, and 326 can be substituted by single cross valve.
In this first flow control section 305, this hole 338 arranges along this gas passage 319.This gas passage 319 is divided into gas passage 331,333, and it is communicated with these the first and second gas passages 324,326 fluids respectively.A pair of valve 320 is located in this gas passage 331,333 to control the flow that flows to this first gas of this first and second gas passage 324,326 through this hole 338.At another execution mode, this pair of valve 320 arranging along this gas passage 331,333 can be substituted by single cross valve.
In this second flow control section 315, a pair of valve 320 arranges to control through one or more of these holes 330-336 along this first and second gas passage 364,366 and flows to the flow of this middle section 42 of this plasma processing chamber and this second gas of this fringe region 46.At another execution mode, this pair of valve 320 arranging along this gas passage 364,366 can be substituted by single cross valve.
At this second flow control section 315, this hole 338 is arranged along this gas passage 359.This gas passage 359 is divided into gas passage 372,374, and it is communicated with these the first and second gas passages 364,366 fluids respectively.A pair of valve 320 is located in this gas passage 372,374 to control the flow that flows to this second gas of this first and/or second gas passage 364,366 through this hole 338.At another execution mode, this pair of valve 320 arranging along this gas passage 372,374 can be substituted by single cross valve.
These holes 330-338 is included in this flow control part 300, with this gas distributing system 100 of box lunch by the gas that flows into this plasma processing chamber 12 when this first gas becomes this second gas, the flowing instability that prevents pressure oscillation and this gas flow, vice versa.
In this embodiment shown in Fig. 4, the gas passage 242 (Fig. 3) of this first tuning gas source 218 is arranged as this first tuning gas is provided to this first gas passage 324 of this first flow control section 305 and/or the second gas passage 326 regulating this first gas composition.The gas passage 244 (Fig. 3) of this second tune gas source 219 is arranged as this second tune gas is provided to this first gas passage 364 of this second flow control section 315 and/or the second gas passage 366 to regulate this second gas composition.This first and second tuning gas can be identical tuning gas or different tuning gas.
A volume control device 340, is preferably MFC, along this gas passage 242, arranges.Valve 320 arranges and to control respectively this first tuning gas, enters the flow of this gas passage 326,324 along this gas passage 337,339.At another execution mode, this pair of valve 320 arranging along this gas passage 337,339 can be substituted by single cross valve.
Volume control device 340, is preferably MFC, along this gas passage 244, arranges.Valve 320 arranges and to control respectively this second tune gas, enters the flow of this gas passage 366,364 along this gas passage 376,378.In another alternate embodiment, a pair of valve 320 arranging along this gas passage 376,378 can be substituted by single cross valve.
In the execution mode of this flow control part 300 shown in Fig. 4, this first flow control section 305 and this second flow control section 315 comprise the same assembly being arranged in same structure.Yet in other preferred implementation of this gas distributing system 100, this first and second flow controls part 305,315 can have the assembly that differs from one another and/or different structures.For example, this first and second flow controls part 305,315 can comprise the hole with the confinement dimension of differing from one another and/or a plurality of hole of different numbers.For example, this first and second flow controls part 305,315 can comprise multiple tuning gas.
In this gas distributing system 100, this gas switching part 400 and this flow control part 300, and this bypass line fluid flowing to inside and this first and second gas of this vacuum chamber is communicated with.The first preferred implementation of this gas switching part 400 is described in Fig. 5.This gas switching part 400 can alternately be provided to the first and second gases this middle section 42 and this fringe region 46 of this plasma processing chamber 12.This first gas passage 324 and this second gas passage 326 of this gas switching part 400 and this first flow control section 305, and be communicated with this first gas passage 364 and these the second gas passage 366 fluids of this second flow control section 315.
This first gas passage 324 of this first flow control section 305 is divided into gas passage 448,450; This second gas passage 326 of this first flow control section 305 is divided into gas passage 442,444; This first gas passage 364 of this second flow control section 315 is divided into gas passage 452,454; And this second gas passage 366 of this second flow control section 315 is divided into gas passage 456,458.In this embodiment, this gas passage 442 is communicated with these fringe region 46 fluids of this plasma chamber 12, and this gas passage 448 is communicated with these middle section 42 fluids of plasma processing chamber 12, and this gas passage 444 provides bypass line.This gas passage 456 is communicated with these gas passage 442 fluids to this fringe region 46.This gas passage 452 is communicated with these gas passage 448 fluids to this middle section 42.This gas passage 450,454 and 458 is communicated with these gas passage 444 fluids to this bypass line.
Valve 440 is along each layout of this gas passage 442,444,448,450,452,454,456 and 458.This valve 440 can open and close selectively, preferably, under the control of this controller 500, so that this first or second gas is provided to this chamber, and another gas is transferred to this bypass line simultaneously.
For example, for this first gas being provided to this middle section 42 and this fringe region 46 of this plasma processing chamber 12, and this second gas is transferred to this bypass line, valve 440 along this gas passage 442,448 and 454,458 is opened, and this valve 440 along this gas passage 444,450 and 452,456 is closed.In order to switch this air-flow, thereby this second gas is provided to this middle section 42 and this fringe region 46 of this plasma processing chamber 12, and this first gas is transferred to this bypass line, valve 440 along this gas passage 444,450 and 452,456 is opened, and this valve 440 along this gas passage 442,448 and 454,458 is closed.In other words, first group of valve 440 opened and second group of valve 440 closes that this first gas is provided to this plasma processing chamber 12, and then this first group of same valve closing and second group of same valve 440 are opened so that air-flow is changed into this second gas is provided to this plasma processing chamber.
In this gas switching part 400, this valve 440 is fast switching valves.As used herein, to be valve can open or close at short notice after being actuated to open or close for word " fast switching valve " meaning, is preferably less than about 100ms, as is less than about 50ms or is less than 10ms.For suitable " fast switching valve " using at this gas switching part 400 aLD air bladder valve, can be from being positioned at Solon, and the Swagelok company of Ohio obtains.
This fast Swagelok ALD valve be air-driven.This gas switching part 400 preferably includes the control system for controlling these valve opens and closing.In one embodiment, this control system comprises that control valve (electromagnetically operated valve) is to collect from the signal of this controller 500 and pneumatic air is sent to fast switching valve fast.This fast switching valve is subject to this activity of control valve control fast.This control valve need to be less than 5ms conventionally, and for example about 2ms, and this fast switching valve needs about 10ms conventionally, and for example about 6ms or still less, total time is less than about 10ms, accepts this control signal until drive this fast switching valve as about 8ms.Can be dependent on the operate time of this fast switching valve and comprise the length of the flow channel that pneumatic air is provided and the factor of size and pneumatic supply pressure and change.This pneumatic supply pressure can for example be selected like this, and this fast switching valve is with about identical speed open and close, its can prevent in opening and closing process valve between instantaneous upstream pressure fluctuation.
This air pressure air supply system can have suitable structure.In one embodiment, this supply system can comprise gas container, and it can operate within the full duration of valve event, this pneumatic supply pressure to be remained in the scope of selection, for example, and from about 80 to 85psig.This supply system can comprise that upstream check-valves and adjuster are with by reservoir pressure this pneumatic supply pressure drop isolation relevant with other and system.This reservoir volume can the amount of pressure drop based in fast switching valve course of action be selected with the time quantum that is backfilled to adjuster setup pressure value.
This gas switching part 400 can be provided to this first gas for example inside of this vacuum chamber, this second gas is transferred to this bypass line simultaneously, then, preferably, under this controller 500 is controlled, switch fast these air-flows and this second gas is provided to this vacuum chamber and this first gas is transferred to this bypass line simultaneously.Before switching gas, being provided to this first gas of this vacuum or the time quantum of the second gas can be controlled by this controller 500.As explained above, this gas distributing system 100 can be for the plasma processing chamber that comprises plasma restricted area to replace about 1/2 in the time of about 1s and be raised to the gas volume of about 4 liters being less than, more preferably be less than about 200ms, to stablize this system.
The valve 440 of this gas switched system has valve flow coefficient C v, it represents the resistance of this valve flow.This flow coefficient C vin standard A NSI/ISA-S75.02 (1996), describe in detail, and can be by SEMI (semiconductor equipment industry, SemiconductorEquipment Industry) method of testing of describing in standard F32 is definite, and title is " TestMethod for Determination of Flow Coefficient for High Purity Shut offValves ".For given valve 440, along with this flow coefficient C vincrease, for the given pressure drop through this valve 440, higher by the specific gas flow rate of this valve 440, that is, increase C vmake this valve less limit gas flow.
As above, in the execution mode of this gas switched system 400 shown in Fig. 5, paired valve 440 is set to be communicated with each fluid of this gas passage 326,324,364 and 366.For 440, one valves 440 of every a pair of valve, can open and another valve 440 is closed and flowed into this process chamber will process gas, then this valve can be switched to air-flow is transferred to this bypass line.It has been determined that vice versa, and in order to keep aerodynamic force, the inlet pressure of each valve 440 of a pair of valve 440 keeps constant ideally for this gas is switched to this bypass line from this process chamber is stable.Further determine, the inlet pressure of each valve 440 of a pair of valve 440 of this gas switched system 400 can be by tuning this valve 440 flow coefficient C separately vand keep constant, thereby two valves of every pair of valve have unmatched C vvalue.In addition, it has been determined that, by keeping the inlet pressure substantially constant of each valve 440 of these gas switched system 400 a pair of valves, be preferably constant, and the inlet pressure substantially constant between the multipair valve 440 that this same treatment chamber outlet (central authorities or fringe region) is provided, or preferably constant, the relevant process conversion of flow control part 300 of this gas distributing system 100 can minimize, and preferably eliminates.For example, in a preferred implementation, inlet pressure along the valve 440 of gas passage 442 and 456 approximate identical (when each flow approximately equal to these valves), and along the inlet pressure of the valve 440 of gas passage 448 and 452 approximate identical (when to each flow approximately equal of these valves).This situation allows seamlessly transitting when the gas flow of switching between this flow control part 305 and 315.
For example, valve 440 can have the C of factory preset vvalue, and can mechanically regulate (tuning) with by default C vvalue becomes values for tuning.For example, in one embodiment, this valve 440 can mechanically regulate to reduce this C vvalue.Also can be that other valve structures can mechanically regulate to increase this C vvalue.According to a preferred implementation of this gas switched system 400, the valve 440 (that is, benchmark valve) that arranges, is communicated with this process chamber fluid along this gas passage 442,448,452 and 456 has default C vvalue, and along this gas passage 444,450,454 and 458, with this bypass line fluid, be communicated with setting valve 440 (being tuning valve) there is tuning C vvalue.In a preferred implementation, along this gas passage 442,448,452 and the 456 default C of valve 440 that arrange vvalue has the normal tolerance from manufacturer: is about +/-2% of flow, is desirably about +/-1% of flow, and the tuning C of the valves 440 that arrange along this gas passage 444,450,454 and 458 vvalue has the normal tolerance from manufacturer: be about +/-2% of flow, be desirably about +/-1% of flow.Default and the tuning C that these valves are right vvalue is unmatched, thereby the inlet pressure of each valve 440 of a pair of valve 440 of this gas switched system 400 can keep constant in handoff procedure, and whole valves that same treatment chamber outlet is provided can keep constant in handoff procedure to 440 inlet pressure between the flow control part 305 and 315 shown in Fig. 4.In a preferred implementation, along this gas passage 442,448,452 and the 456 benchmark valves that arrange, can there is identical default C vvalue.In another preferred implementation, this benchmark valve can have different default C vvalue.In a preferred implementation, along this gas passage 444,450,454 and the 458 tuning valves that arrange, can there is this identical tuning C vvalue.In another preferred implementation, this tuning valve can have different tuning C vvalue.In a preferred implementation, the conductance of each this process chamber outlet enough approaches, thereby each valve 440 that arranges, is communicated with this process chamber fluid along this gas passage 442,448,452 and 456 can have identical default C vvalue, and can there is identical tuning C along this gas passage 444,450,454 and 458 each valve 440 that arrange, that be communicated with this bypass line fluid vvalue, simplifies the tuning of this gas switched system thus.
Every a pair of valve 440 can have identical or different C vdo not mate and think each valve two right valves provide constant inlet pressure situation.For example, in the execution mode of this gas switched system 400 shown in Fig. 5, each valve is to having different C vdo not mate.Namely, in one embodiment, this high C vvalue and low C vdifference between value can be identical for every a pair of valve.In another embodiment, this height and low C vvalue for different valves to can be different, and this height and low C vthe difference of value is identical for every pair of valve.In another embodiment, this high C vvalue and low C vdifference between value can be different for every pair of valve.
As above, in a preferred implementation, these can comprise having this identical default C to valve vthe valve of value.In another preferred implementation, these can comprise having different default C to valve vthe valve of value.In such execution mode, one in these valves can be tuned as and not mate this C vvalue is to realize in handoff procedure for the constant inlet pressure of each valve of this pair of valve.
With reference to the valves pair along this gas passage 442 and 444 settings, in one embodiment, the valve 440 that arranges, is communicated with this process chamber fluid along this gas passage 442 can have nominal C vvalue.The valve 440 that arranges, is communicated with this bypass line fluid along this gas passage 444 can tuningly reduce its C vvalue, thus the inlet pressure that increases thus this valve is to mate the valve 440 arranging along this gas passage 442.For example, in one embodiment, the C of the valve 440 arranging along this gas passage 442 vvalue can be about 0.3 preset value,, and the valve arranging along this gas passage 444 can have about 0.15 values for tuning, thus this can keep constant to the inlet pressure of each valve 440 of valve in handoff procedure.At this default C of 440 vvalue exceeds in the situation of desired value, and right two valves 440 of tunable valve are to provide needed C vdo not mate.Preferably, exist viscosity critical flow by the valve 440 along this gas passage 444 (and also by other valves that are communicated with this bypass line fluid) to reflux avoiding, that is, gas is passing this valve dispersion in the opposite direction with this gas flow.For passing through the viscosity critical flow in hole, the variation of outlet pressure can not change the flow through this hole.Conventionally, relative low through the pressure drop of the valve being communicated with this process chamber fluid.
In a preferred implementation, along the valve 440 of this gas passage 444 and 458, can there is identical tuning C vvalue, this is because (these two valves are all communicated with same bypass line fluid, identical exhaust outlet), and along the valve 440 of this gas passage 442 and 456 be communicated with (that is, this identical process chamber transmission outlet) with the same area fluid and there is identical default C vvalue.If the key of this gas switched system 400 is very similar between these two loops, this situation is normally suitable for.For other gas switched systems, wherein these two loops do not have very similar pipe fitting, along the valve of this gas passage 444 and 458, can have the tuning C differing from one another vvalue.In this gas switched system 400 shown in Fig. 5, along the valve of this gas passage 450 and 454, conventionally there is identical tuning C vvalue, this is because these valves are communicated with same bypass line fluid, and along the valve of gas passage 448,452 settings with this process chamber the same area fluid connection and there is identical default C vvalue.
Therefore, in the execution mode of this gas switched system 400, the C of these valves 440 vdo not mate such situation that produces, these for example, are constant to the inlet pressure between valve (valve arranging along this gas passage 442,444) when user for example, is switched to air-flow other valves valve of gas passage 444 settings (along) and then restores from a valve (valve 440 arranging along gas passage 442).Although described the tuning of this valve 440 of arranging, be communicated with this bypass line fluid along this gas passage above, in other embodiments, can to produce constant inlet gas, press situation by the tuning valve 440 being communicated with this process chamber fluid (that is, along this gas passage 442,448,452 and the 456 one or more valves 440 that arrange).Namely, in such execution mode, for every pair of valve, can regulate each valve to produce constant inlet gas pressure situation.In addition, because every pair of valve is unmatched, so each tuning valve can have identical C vset, or for the difference shown in Fig. 5 to valve 440, can have two, three or four different C vset.This another valve not regulating to valve has name or default C vvalue, provides four to have identical nominal C thus in this embodiment vthe valve of value.
The valve of thinking this gas switching part for the flow coefficient that regulates one or more default valves is as follows to producing the example procedure of approximately equalised inlet pressure situation.Select the test airflow (for example,, in about centre) in the critical processing gas flow range of operation of this plasma processing chamber.For example, this air-flow can be the argon gas of about 500sccm.Use for example first flow control section 305 of this flow control part 300,, selected gas flow is to flow to two flow separators to these central authorities and the required weighted value of fringe region.Use this gas switching part 400, from whole air-flows of this first flow control section 305 by along gas passage 442,448, there is factory preset C vthe valve of value flows to this process chamber.Measure the inlet gas pressure of these valves, as use one or more capacitance manometers.Use this gas switching part 400, from after whole air-flows of this first flow control section 305 by along gas passage 444,450, also there is factory preset C vthe valve 440 of value flows to this bypass line.Measure each inlet gas pressure of these valves.By the stream to this plasma processing chamber and to the valve inlet pressure of the stream of this bypass line, compare with measured value.One of valve along this gas passage 442,448 (to this process chamber) or this valve 444,450 (to bypass pipe) are adjusted to lower C vvalue, depends on which valve has the lower inlet pressure that records.Regulate selected valve, again flow into this test gas and remeasure this inlet pressure, and with this inlet pressure comparison to another valve of valve.Can repeat this process until obtain required inlet pressure situation.This adjusting can be determined and determine and in this handoff procedure, can not occur that chamber pressure point is dashed forward or plasma is revealed by switch air-flow for different flow scope.
According to the gas switching part 1400 of the second preferred implementation, describe as Fig. 6.In this embodiment, this gas switching part 1400 is communicated with the first gas passage 405 and the second gas passage 415 fluids.This first and second gas passage 405,415 can be respectively the first gas vent and second gas vent of for example flow control part, is different from the flow control part 300 shown in this Fig. 4, and it does not comprise central authorities and fringe region gas vent.This first gas passage 405 is divided into gas passage 422,424, and this second gas passage 415 is divided into gas passage 426,428.This gas passage 422 and 426 and the internal fluid communication of vacuum chamber, and this gas passage 424 and 428 is communicated with bypass line fluid.Valve 440 is along each setting of this gas passage 422,424 and 426,428.
For example, in order this first gas to be provided to this vacuum chamber and this second gas to be led to this bypass line simultaneously, along the valve 440 of this fluid passage 422 and 428, open and close along the valve 440 of this gas passage 424 and 426.Thereby in order to switch air-flow, this second gas is provided to this vacuum chamber and this first gas is transferred to this bypass line, along the valve 440 of this fluid passage 424 and 426, open and along valve 440 valve closings of this fluid passage 422 and 428.
In the execution mode of this gas switched system 1400 shown in Fig. 6, along the valve of gas passage 422 and 426, guide same process chamber outlet into, and guide same bypass line into along the valve of gas passage 424 and 428.Conventionally, along gas passage 424 and 428 valves that arrange, can there is identical tuning (reducing) C vvalue, and can there is nominal C along the valve of gas passage 422 and 426 vvalue.As above, along these of this gas passage 422,424 and 426,428, to valve, can there is unmatched C vvalue, thus the inlet pressure of each valve 440 of a pair of valve 440 of this gas switched system 400 can keep constant.
The preferred implementation of this gas distributing system 100 can be for being provided to this plasma processing chamber 12 to carry out various etching and/or depositing operation by different gas chemistry and/or flow rate.For example, this gas distributing system 100 can by process gas be provided to plasma processing chamber with the Si oxide of the mask by covering (as UV resist mask) protection (as SiO 2) layer in etch features.This SiO 2layer can be in the upper formation of semiconductor wafer (as silicon wafer), and this wafer has 200mm or 300mm diameter.These features can be, for example, and via hole and/or groove.During such etch process, need to be on the some parts of this mask deposited polymer to repair the striped in mask, for example, crackle or crack, (filling these stripeds), thus be etched in this SiO 2in feature there is their required shapes, for example, there is the via hole of circular cross-section.If do not repair striped, they can finally reach the layer below this mask and in etching process, in fact be passed to that layer.And polymer can be deposited on the sidewall of these features.
Yet, it has been determined that the thickness effect rate of etch of the polymer that is deposited on sidewall and etched feature bottom.When anisotropy, in carving technology, the polymer that is deposited on this feature bottom is substantially removed in etching process.Yet, if polymer becomes too thick at this sidewall and/or in this bottom, will reduce SiO 2rate of etch, and may be stopped completely.Too thick if become, polymer also may be from surfacial spalling.Therefore, preferably control to the indoor time quantum that is provided for forming the admixture of gas that is deposited on the polymer in this mask and feature of this plasma treatment to be controlled at thus this SiO 2the thickness of the polymer deposits forming on layer, also provides this mask is repaired and protection fully simultaneously.At this SiO of etching 2in layer process, polymer periodic ground is removed from this mask.Therefore, this polymer is preferably at this SiO 2between the etching period of layer, be deposited on this mask to guarantee that acquisition is to the enough reparations of this mask and protection.
This gas distributing system 100 can for example be processed gas, with the SiO of the capped mask of etching (UV resist mask) protection to indoor the providing of plasma treatment 2, wherein control and be deposited on polymer thickness and reparation and this mask of protection in these features.The gas switching part of this gas distributing system 100 can operate to allow to provide for this SiO of etching to this plasma treatment is indoor 2first process gas and continued for the first period, the second admixture of gas that is used to form this polymer deposition is transferred to bypass line simultaneously, then switch fast air-flow, thereby to form this polymer deposition, and forward this first admixture of gas to this bypass line to indoor this second admixture of gas that provides of this plasma treatment.Preferably, with this second admixture of gas, at least substantially replace the first admixture of gas of the plasma restricted area that is provided to this plasma processing chamber being less than in time of 1 second, be more preferably less than about 200ms.These ion restricted areas preferably have about 1/2 and are raised to the volume of about 4 liters.
Be used for etching SiO 2the first admixture of gas can comprise, for example, fluorocarbon species is (as C 4f 8), O 2and argon gas.C 4f 8/ O 2the flow rate of/argon gas for example can be, 20/10/500sccm.The second admixture of gas that is used for forming polymer deposition for example can comprise, and fluorohydrocarbon material, as CH 3f and argon gas.CH 3the flow rate of F/ argon gas can be, for example, and 15/500sccm.This second admixture of gas also comprises O alternatively 2.For the capacitive coupling plasma etch reactor for the treatment of 200mm or 300mm wafer, constant pressure for example can be, 70-90mTorr.During this chamber of each introducing, this first admixture of gas preferably flows into this plasma processing chamber about 5 seconds to about 20 seconds (simultaneously this second gas being transferred to this bypass line), and while introducing this chamber, this second admixture of gas preferably flows into this plasma processing chamber about 1 second to about 3 seconds (simultaneously this first gas being transferred to this bypass line) at every turn.SiO in etch substrate 2in process, this etching period and/or the length of this polymer deposition time can increase or reduce within this preferred time period.This polymer deposition is preferably less than the maximum ga(u)ge of about 100 dusts in etching process, and it lasts up to 3 minutes conventionally.In etching process, polymer can be deposited on this mask to repair striped and mask protection is provided.Therefore, preferably can in this etching process procedure, keep the shape of the opening in this mask.
The present invention is described according to a plurality of preferred implementations.Yet, for those skilled in the art, obviously, to be different from that concrete form as above realizes the present invention and do not deviate from purport of the present invention, be possible.These preferred implementations are illustrative, and should not think by any way restrictive.Scope of the present invention is provided by paid claim, rather than explanation before, and is intended that and comprises whole variations and the equivalent falling within the scope of these claims here.

Claims (16)

1. for processing gas being provided to a gas switching part for the gas distributing system of plasma processing chamber, this gas switching part comprises:
The first gas passage, is suitable for being communicated with the first gas line and this plasma processing chamber fluid;
The second gas passage, is suitable for being communicated with this first gas line and bypass line fluid;
Along the first fast switching valve of this first gas passage, can operate to open and close this first gas passage, this first fast switching valve has the first predetermined flow coefficient; With
The second fast switching valve along this second gas passage, can operate to open and close this second gas passage, this second fast switching valve has the second predetermined flow coefficient that is different from this first flow coefficient, thereby wherein said the first predetermined flow coefficient and described the second predetermined flow coefficient can be conditioned as this first and second fast switching valve limits required flow coefficient and not mate, thereby in handoff procedure, when air-flow is by closing this first fast switching valve and opening this second fast switching valve and be switched to this second gas passage from this first gas passage, or when closing this second fast switching valve and open this first fast switching valve and be switched to this first gas passage from this second gas passage, it is constant that the inlet pressure of the inlet pressure of this first fast switching valve and this second fast switching valve keeps, minimize thus pressure oscillation and flowing instability in each gas passage,
Wherein this first and second fast switching valve is suitable for driven (a) to open this first fast switching valve and to close this second fast switching valve so that processing gas is provided to this plasma processing chamber, and (b) closes this first fast switching valve and open this second fast switching valve so that this processing gas is transferred to this bypass line.
2. gas switching part according to claim 1, wherein this first and second fast switching valve can be less than 100ms after driven or be less than open and/or closed in time of 50ms.
3. a plasma processing, comprising:
Plasma processing chamber, it comprises showerhead electrode assembly; With
According to the gas switching part of claim 1, it is communicated with this showerhead electrode assembly fluid.
4. plasma processing according to claim 3, further comprises control system, and it can operate to control the opening and closing of this first and second fast switching valve.
5. plasma processing according to claim 4, further comprises flow control part, and it comprises the first gas line being communicated with this first and second gas passages fluid.
6. plasma processing according to claim 5, further comprises gas supply section, and it is communicated with this flow control segment fluid flow.
7. for gas being provided to a gas switching part for the gas distributing system of plasma processing chamber, this chamber comprises the gas distribution member with central authorities and fringe region, flows and separate each other in these two regions, and this gas switching part comprises:
The first gas passage, is suitable for being communicated with the fringe region fluid of the gas distribution member of the first gas line and this plasma processing chamber;
The second gas passage, is suitable for being communicated with this first gas line and bypass line fluid;
The 3rd gas passage, is suitable for being communicated with the middle section fluid of the second gas line and this gas distribution member;
The 4th gas passage, is suitable for being communicated with this second gas line and this bypass line fluid;
The 5th gas passage, is suitable for being communicated with the 3rd gas line and this middle section fluid;
The 6th gas passage, is suitable for being communicated with the 3rd gas line and this bypass line fluid;
The 7th gas passage, is suitable for being communicated with the 4th gas line and this fringe region fluid;
The 8th gas passage, is suitable for being communicated with the 4th gas line and this bypass line fluid;
Respectively along the first and second fast switching valves of this first and second gas passage, this first fast switching valve can operate to open and close this first gas passage and have first flow coefficient, this second fast switching valve can operate to open and close this second gas passage and have the second coefficient of discharge that is different from this first flow coefficient, thereby wherein said first flow coefficient and described second coefficient of discharge can be conditioned as this first and second fast switching valve limits required first flow coefficient and not mate, thereby in handoff procedure, when air-flow is by closing this first fast switching valve and opening this second fast switching valve and be switched to this second gas passage from this first gas passage, or when closing this second fast switching valve and open this first fast switching valve and be switched to this first gas passage from this second gas passage, it is constant that the inlet pressure of the inlet pressure of this first fast switching valve and this second fast switching valve keeps,
Respectively along the third and fourth fast switching valve of this third and fourth gas passage, the 3rd fast switching valve can operate to open and close the 3rd gas passage and have the 3rd flow coefficient, the 4th fast switching valve can operate to open and close the 4th gas passage and have the 4th flow coefficient that is different from the 3rd flow coefficient, thereby wherein said the 3rd flow coefficient and described the 4th flow coefficient can be conditioned as this third and fourth fast switching valve limits required second coefficient of discharge and not mate, thereby in handoff procedure, when air-flow is by closing the 3rd fast switching valve and opening the 4th fast switching valve and be switched to the 4th gas passage from the 3rd gas passage, or when closing the 4th fast switching valve and open the 3rd fast switching valve and be switched to the 3rd gas passage from the 4th gas passage, it is constant that the inlet pressure of the inlet pressure of the 3rd fast switching valve and the 4th fast switching valve keeps,
Respectively along the 5th and the 6th fast switching valve of the 5th and the 6th gas passage, the 5th fast switching valve can operate to open and close the 5th gas passage and have the 5th flow coefficient, the 6th fast switching valve can operate to open and close the 6th gas passage and have the 6th flow coefficient that is different from the 5th flow coefficient, thereby wherein said the 5th flow coefficient and described the 6th flow coefficient can be conditioned as the 5th and the 6th fast switching valve limits the 3rd required flow coefficient and not mate, thereby in handoff procedure, when air-flow is by closing the 5th fast switching valve and opening the 6th fast switching valve and be switched to the 6th gas passage from the 5th gas passage, or when closing the 6th fast switching valve and open the 5th fast switching valve and be switched to the 5th gas passage from the 6th gas passage, it is constant that the inlet pressure of the inlet pressure of the 5th fast switching valve and the 6th fast switching valve keeps, with
Respectively along the 7th and the 8th fast switching valve of the 7th and the 8th gas passage, the 7th fast switching valve can operate to open and close the 7th gas passage and have the 7th flow coefficient, the 8th fast switching valve can operate to open and close the 8th gas passage and have the 8th flow coefficient that is different from the 7th flow coefficient, thereby wherein said the 7th flow coefficient and described the 8th flow coefficient can be conditioned as the 7th and the 8th fast switching valve limits the 4th required flow coefficient and not mate, thereby in handoff procedure, when air-flow is by closing the 7th fast switching valve and opening the 8th fast switching valve and be switched to the 8th gas passage from the 7th gas passage, or when closing the 8th fast switching valve and open the 7th fast switching valve and be switched to the 7th gas passage from the 8th gas passage, it is constant that the inlet pressure of the inlet pressure of the 8th fast switching valve and the 7th fast switching valve keeps.
8. gas switching part according to claim 7, wherein:
This first, the 3rd, the 6th and the 8th fast switching valve is suitable for driven opening, and this second, the 4th, the 5th and the 7th fast switching valve is suitable for driven closing, to the first processing gas is provided to these central authorities and fringe region, and the second processing gas is transferred to this bypass line; And
This second, the 4th, the 5th and the 7th fast switching valve is suitable for driven opening, and this first, the 3rd, the 6th and the 8th fast switching valve is suitable for driven closing, to this second processing gas is provided to these central authorities and fringe region, and this first processing gas is transferred to this bypass line.
9. gas switching part according to claim 7, wherein this first, the 3rd, the 5th and the 7th flow coefficient is equal to each other, and this second, the 4th, the 6th and the 8th flow coefficient is equal to each other.
10. gas switching part according to claim 7, wherein the difference of this first and second flow coefficient equal this third and fourth flow coefficient poor, the 5th and the difference of the 6th flow coefficient and the 7th and the 8th flow coefficient poor.
11. gas switching parts according to claim 7, wherein:
When each the flow of gas to the first and the 7th fast switching valve equates, the inlet pressure of this first fast switching valve equals the inlet pressure of the 7th fast switching valve; And
When each the flow of gas to the 3rd and the 5th fast switching valve equates, the inlet pressure of the 3rd fast switching valve equals the inlet pressure of the 5th fast switching valve.
12. 1 kinds of plasma processings, comprising:
Plasma processing chamber, it comprises the showerhead electrode assembly with central authorities and fringe region, flow and separate each other in these two regions; With
According to Claim 8, gas switching part, it is communicated with central authorities and the fringe region fluid of this showerhead electrode assembly.
13. plasma processings according to claim 12, further comprise control system, its can operate to control this first, second, third and the opening and closing of the 4th fast switching valve.
14. plasma processings according to claim 13, further comprise flow control part, it comprises the first gas line being communicated with this first and second gas passages fluid, the second gas line being communicated with this third and fourth gas passage fluid, the 3rd gas line being communicated with the 5th and the 6th gas passage fluid and the 4th gas line being communicated with the 7th and the 8th gas passage fluid.
15. plasma processings according to claim 14, further comprise gas supply section, and it is communicated with this flow control segment fluid flow.
16. plasma processings according to claim 12, wherein:
This plasma processing chamber has 1/2 and is raised to the internal capacity of 4 liters; With
This gas distributing system can operate this in the plasma restricted area of this plasma processing chamber first to be processed to gas or this second and processed gas and replace with this and first process gas or second and process another of gas being less than in the time of 1s.
CN200780002304.XA 2006-01-11 2007-01-03 Gas switching section including valves having different flow coefficients for gas distribution system Active CN101496144B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/329,170 US8088248B2 (en) 2006-01-11 2006-01-11 Gas switching section including valves having different flow coefficients for gas distribution system
US11/329,170 2006-01-11
PCT/US2007/000035 WO2007081686A2 (en) 2006-01-11 2007-01-03 Gas switching section including valves having different flow coefficients for gas distribution system

Publications (2)

Publication Number Publication Date
CN101496144A CN101496144A (en) 2009-07-29
CN101496144B true CN101496144B (en) 2014-03-12

Family

ID=38231623

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200780002304.XA Active CN101496144B (en) 2006-01-11 2007-01-03 Gas switching section including valves having different flow coefficients for gas distribution system

Country Status (7)

Country Link
US (3) US8088248B2 (en)
JP (1) JP5055297B2 (en)
KR (1) KR101347512B1 (en)
CN (1) CN101496144B (en)
MY (1) MY164322A (en)
TW (1) TWI414015B (en)
WO (1) WO2007081686A2 (en)

Families Citing this family (425)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR101238233B1 (en) * 2006-06-30 2013-03-04 엘지디스플레이 주식회사 TFT and method of fabricating of the same
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
KR20090022557A (en) * 2007-08-31 2009-03-04 삼성전자주식회사 Apparatus for hdp-cvd and method for forming insulating layer using the same
JP5192214B2 (en) 2007-11-02 2013-05-08 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, and substrate processing method
JP5377513B2 (en) * 2007-12-27 2013-12-25 ラム リサーチ コーポレーション Apparatus, method and program storage device for eliminating gas transport delay for short etching recipes
KR20100128333A (en) * 2008-03-21 2010-12-07 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus of a substrate etching system and process
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8328980B2 (en) * 2009-09-04 2012-12-11 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
CN102931050B (en) * 2011-08-10 2017-10-31 中国科学院微电子研究所 A kind of new normal pressure plasma free radical cleaning spray gun intake method
NL2007447C2 (en) * 2011-09-20 2013-03-21 Draka Comteq Bv METHOD FOR PRODUCING A PRIMARY FORM FOR OPTICAL FIBERS, PRIMARY FORM, FINAL FORM, OPTICAL FIBER.
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP6038618B2 (en) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9437400B2 (en) 2012-05-02 2016-09-06 Lam Research Corporation Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9090972B2 (en) * 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102176189B1 (en) * 2013-03-12 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 Multi-zone gas injection assembly with azimuthal and radial distribution control
US9488315B2 (en) 2013-03-15 2016-11-08 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
CN104150431A (en) * 2013-05-14 2014-11-19 北京北方微电子基地设备工艺研究中心有限责任公司 Gas intake system and substrate processing device
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6336719B2 (en) * 2013-07-16 2018-06-06 株式会社ディスコ Plasma etching equipment
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9142456B2 (en) 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines
US9275869B2 (en) 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
WO2015026491A1 (en) * 2013-08-19 2015-02-26 Applied Materials, Inc. Apparatus for impurity layered epitaxy
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
SG11201608640QA (en) * 2014-05-16 2016-11-29 Applied Materials Inc Showerhead design
US9642237B2 (en) * 2014-05-20 2017-05-02 Hypertherm, Inc. Method of improving electrode life by simultaneously controlling plasma gas composition and gas flow
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
KR20160012302A (en) 2014-07-23 2016-02-03 삼성전자주식회사 method for manufacturing substrate and manufacturing apparatus used the same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102411194B1 (en) 2014-09-04 2022-06-20 삼성전자주식회사 Electrostatic chuck assemblies capable of bidirectional flow of coolant and semiconductor fabricating apparatus having the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
SG11201703129YA (en) * 2014-10-17 2017-05-30 Lam Res Corp Gas supply delivery arrangement including a gas splitter for tunable gas flow control
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
TW201634738A (en) * 2015-01-22 2016-10-01 應用材料股份有限公司 Improved injector for spatially separated atomic layer deposition chamber
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (en) * 2016-06-01 2020-04-22 東京エレクトロン株式会社 Substrate processing method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
CN108231621A (en) * 2016-12-15 2018-06-29 中微半导体设备(上海)有限公司 The processing unit and method of a kind of plasma etch process
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101988361B1 (en) * 2017-06-15 2019-06-12 버슘머트리얼즈 유에스, 엘엘씨 Gas Supply System
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR20200106090A (en) * 2018-01-31 2020-09-10 램 리써치 코포레이션 Manifold valve for multiple precursors
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN110648910A (en) * 2018-06-26 2020-01-03 株式会社国际电气 Method for manufacturing semiconductor device, method for managing parts, substrate processing apparatus, and recording medium
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
JP7296699B2 (en) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 GAS SUPPLY SYSTEM, PLASMA PROCESSING APPARATUS, AND GAS SUPPLY SYSTEM CONTROL METHOD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN113383409A (en) * 2019-01-31 2021-09-10 朗姆研究公司 Multi-position injection of gases to improve uniformity in rapid alternating processes
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN114375236A (en) * 2019-05-23 2022-04-19 深圳市佳士科技股份有限公司 Improved method of regulating gas flow and system using the improved flow regulation method
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
JP2021082127A (en) * 2019-11-21 2021-05-27 東京エレクトロン株式会社 Gas supply system, plasma processing apparatus and control method for gas supply system
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113013011B (en) * 2019-12-20 2022-11-29 中微半导体设备(上海)股份有限公司 Gas distribution device and plasma processing apparatus
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP2024504187A (en) * 2021-01-27 2024-01-30 ユージェヌス インコーポレイテッド Precursor delivery system and method for cyclic deposition
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989345A (en) * 1997-05-02 1999-11-23 Tokyo Electron Limited Process-gas supply apparatus

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3701682A (en) * 1970-07-02 1972-10-31 Texas Instruments Inc Thin film deposition system
US4570669A (en) * 1982-08-24 1986-02-18 Pauliukonis Richard S Simplified springless check valve
JPS62143427A (en) * 1985-12-18 1987-06-26 Hitachi Ltd Processing gas feeder
US4768544A (en) * 1987-05-26 1988-09-06 Beam Engineering, Inc. Digital valve flow control system
US4932232A (en) * 1988-05-20 1990-06-12 Alcan Aluminum Corporation Methods of detecting and correcting spray header malfunctions
US5074522A (en) * 1991-03-11 1991-12-24 Worcester Controls Corporation Ball valve having improved inherent rangeability
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
WO1998000576A1 (en) 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6328070B2 (en) * 1999-03-01 2001-12-11 Abb Offshore Systems Inc. Valve arrangement for controlling hydraulic fluid flow to a subsea system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2002129337A (en) * 2000-10-24 2002-05-09 Applied Materials Inc Method and apparatus for vapor phase deposition
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
GB2381568A (en) * 2001-10-31 2003-05-07 Fluid Controls U K Ltd Flow control valve
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
CN1777696B (en) * 2003-03-14 2011-04-20 杰努斯公司 Methods and apparatus for atomic layer deposition
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
ES2245239B1 (en) * 2004-06-03 2007-03-01 Consejo Sup. Investig. Cientificas SERVOPOSITIONER FOR MICRORREGULATION VALVE.
US20070259130A1 (en) * 2004-06-08 2007-11-08 Hans Von Kaenel System for Low-Energy Plasma-Enhanced Chemical Vapor Deposition
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060163220A1 (en) * 2005-01-27 2006-07-27 Brandt Aaron D Automatic gas control for a plasma arc torch
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989345A (en) * 1997-05-02 1999-11-23 Tokyo Electron Limited Process-gas supply apparatus

Also Published As

Publication number Publication date
KR101347512B1 (en) 2014-01-02
JP5055297B2 (en) 2012-10-24
CN101496144A (en) 2009-07-29
US20120070997A1 (en) 2012-03-22
MY164322A (en) 2017-12-15
US20140148015A1 (en) 2014-05-29
US20070158025A1 (en) 2007-07-12
US8772171B2 (en) 2014-07-08
WO2007081686A3 (en) 2008-10-02
KR20080091805A (en) 2008-10-14
TWI414015B (en) 2013-11-01
WO2007081686A2 (en) 2007-07-19
US8313611B2 (en) 2012-11-20
JP2009523321A (en) 2009-06-18
TW200735209A (en) 2007-09-16
US8088248B2 (en) 2012-01-03

Similar Documents

Publication Publication Date Title
CN101496144B (en) Gas switching section including valves having different flow coefficients for gas distribution system
CN1969060B (en) Gas distribution system having fast gas switching capabilities
CN101563757B (en) Fast gas switching plasma processing apparatus
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant