CN101443131A - 集成热单元 - Google Patents

集成热单元 Download PDF

Info

Publication number
CN101443131A
CN101443131A CNA2005800485660A CN200580048566A CN101443131A CN 101443131 A CN101443131 A CN 101443131A CN A2005800485660 A CNA2005800485660 A CN A2005800485660A CN 200580048566 A CN200580048566 A CN 200580048566A CN 101443131 A CN101443131 A CN 101443131A
Authority
CN
China
Prior art keywords
substrate
coldplate
shuttle
bake
bake plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800485660A
Other languages
English (en)
Other versions
CN101443131B (zh
Inventor
大卫·H·喀什
马丁·杰夫·萨里纳斯
石川徹夜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Semiconductor Solutions Co Ltd
Original Assignee
Screen Semiconductor Solutions Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/174,782 external-priority patent/US7288746B2/en
Priority claimed from US11/174,681 external-priority patent/US7274005B2/en
Priority claimed from US11/174,988 external-priority patent/US7282675B2/en
Priority claimed from US11/174,781 external-priority patent/US7297906B2/en
Application filed by Screen Semiconductor Solutions Co Ltd filed Critical Screen Semiconductor Solutions Co Ltd
Priority claimed from PCT/US2005/046637 external-priority patent/WO2006069256A2/en
Publication of CN101443131A publication Critical patent/CN101443131A/zh
Application granted granted Critical
Publication of CN101443131B publication Critical patent/CN101443131B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03DAPPARATUS FOR PROCESSING EXPOSED PHOTOGRAPHIC MATERIALS; ACCESSORIES THEREFOR
    • G03D13/00Processing apparatus or accessories therefor, not covered by groups G11B3/00 - G11B11/00
    • G03D13/006Temperature control of the developer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • G05B19/41825Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell machine tools and manipulators only, machining centre
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40476Collision, planning for collision free path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/49137Store working envelop, limit, allowed zone
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/53187Multiple station assembly apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53209Terminal or connector
    • Y10T29/53213Assembled to wire-type conductor
    • Y10T29/53222Means comprising hand-manipulatable implement
    • Y10T29/5323Fastening by elastic joining

Abstract

一种集成热单元包括:烘烤板,其构造成对支撑在烘烤板的表面上的衬底进行加热;冷却板,其构造成对支撑在冷却板的表面上的衬底进行冷却;和衬底传送梭,其构造成将衬底从烘烤板传送到冷却板,衬底传送梭具有控温衬底保持表面,所述保持表面能够对由烘烤板加热的衬底进行冷却。

Description

集成热单元
技术领域
本发明一般而言涉及衬底处理设备领域。更具体地,本发明涉及用于控制衬底温度的方法和装置,该衬底是诸如半导体衬底,并且在形成集成电路中使用。
背景技术
现代集成电路包含几百万个个体元件,这些元件通过对诸如硅、金属和/或介电层的材料进行图案化而形成,从而将集成电路的尺寸制成微米的几分之一。整个工业中用于形成这种图案的技术是光刻。通常的光刻处理序列一般包括在衬底的表面上沉积一个或者多个均匀的光阻材料(光阻)层、对沉积层进行干燥和固化、通过将光阻层曝光于电磁辐射而对衬底进行图案化,其中电磁辐射适合于改性曝光层,然后对图案化的光阻层进行显影。
在半导体工业中常见的是,与光刻处理有关的许多步骤将要在多室处理系统(例如,组合工具)中执行,其中多室处理系统具有以受控方式依次处理半导体晶片的能力。用来沉积(即,涂覆)和显影光阻材料的组合工具的一个示例通常是指匀胶显影光刻工具(track lithography tool)。
匀胶显影光刻工具通常包括容纳多个室(此处有时称为平台)的主机架,这些室专用于执行与前光刻处理和后光刻处理有关的各种任务。在匀胶显影光刻工具内通常都有湿处理室和干处理室。湿室包括涂覆和/或显影杯,而干室包括容纳烘烤板和/或冷却板的热控制单元。匀胶显影光刻工具还常常包括一个或者多个晶舟/晶盒安装装置(诸如工业标准FOUP(前开式标准舱)),以从清洁室接收衬底或者将衬底返回至清洁室,其还包括多个衬底传送机械手,以将衬底在匀胶显影光刻工具的各种室/平台和允许该工具可操作地连接到光刻曝光工具的接口之间传送,以将衬底传送进入曝光工具和在曝光工具内处理衬底之后从曝光工具接收衬底。
这么多年,在半导体工业内,已经有很强推动力来缩小半导体器件尺寸。构件尺寸的减小导致处理变化性的工业公差减小,随后其导致了半导体制造规格对处理均匀性和可重复性具有更严格的要求。在匀胶显影光刻处理序列过程中,减小处理变化性的重要因素是确保针对特定应用在匀胶显影光刻工具内处理的每个衬底具有相同的“晶片历史”。一般由处理工程师监视和控制衬底的晶片历史,以确保控制所有器件制造处理变量,使得总是以相同的方式处理相同批次中的所有衬底,其中该器件制造处理变量会在后面会影响器件的性能。
为了确保每个衬底具有相同的“晶片历史”,要求每个衬底经历相同的可重复衬底处理步骤(例如,一致的涂覆处理、一致的硬烘烤(hardbake)处理、一致的冷却处理等),并且对于每个衬底,各处理步骤之间的时间要相同。光刻型器件制造处理尤其对处理配方变量和配方步骤之间的时间敏感,这直接影响处理变化性,最终影响器件性能。
鉴于这些要求,半导体工业对能够提高匀胶显影光刻和其它类型的组合工具的晶片历史的一致性的方法和显影设备和技术进行了持续的研究。
发明内容
根据本发明,提供了关于半导体制造设备的方法和装置。更具体地,本发明实施例涉及用于以较高可控的方式对衬底进行加热和/或冷却。本发明实施例设想以较高可控的方式根据相同的加热和冷却序列处理衬底因而有助于针对每个衬底确保一致的晶片历史。尽管本发明一些实施例在匀胶显影光刻工具的室或者平台中对衬底进行加热和/或冷却方面尤其有用,但是本发明的其它实施例能够用在期望以较高可控的方式对衬底进行加热和冷却的其它应用中。
本发明的某些实施例属于集成热单元。根据一个这样的实施例,集成热单元包括烘烤板,其构造成对支撑在烘烤板的表面上的衬底进行加热;冷却板,其构造成对支撑在冷却板的表面上的衬底进行冷却;和衬底传送梭,其构造成将衬底从烘烤板传送到冷却板,衬底传送梭具有控温衬底保持表面,保持表面能够对由烘烤板加热的衬底进行冷却。
根据本发明另一个实施例,集成热单元包括烘烤平台,烘烤平台包括烘烤板,烘烤板构造成保持和加热衬底;冷却平台,冷却平台包括冷却板,冷却板构造成保持和冷却衬底;和衬底传送梭,其构造成将衬底沿着热单元内的水平直线路径从烘烤板传送到冷却板,并且沿着集成热单元内的垂直路径升高和降低衬底。
根据本发明另一个实施例,集成热单元包括烘烤板,烘烤板具有衬底保持表面,其构造成在烘烤的位置保持和加热衬底;冷却板,冷却板具有衬底保持表面,其构造成在冷却的位置保持和冷却衬底;其中,当烘烤板在烘烤位置时,烘烤板的衬底保持表面位于第一基本水平平面,当冷却板在冷却位置时,冷却板的衬底保持表面位于第二基本水平平面,第二基本水平平面在第一平面下方。
根据本发明另一个实施例,提供一种烘烤平台。烘烤平台包括烘烤板,适于对支撑在烘烤板的上表面上衬底进行加热,烘烤板可在上烘烤位置和下冷却位置之间垂直移动;和多个散热器,适于当烘烤板在下冷却位置时,散热器可接合地连接到烘烤板的下表面。
本发明的其它一些实施例涉及匀胶显影光刻工具,其包括多个晶舟组件,适于接收一个或者多个晶盒;和一个或者多个机械手,适于将晶片从一个或者多个晶舟组件传送到匀胶显影光刻工具内的处理模组,其中,处理模组中至少一个包括根据上述实施例中一个的集成热单元。
本发明的其它实施例还涉及在集成热单元处理衬底的方法。根据一个这样的实施例,在具有烘烤板和冷却板的集成热单元中处理衬底的方法包括:将衬底传送进入集成热单元,衬底具有施加在衬底上的液体光阻材料;将衬底定位在烘烤板上;用烘烤板加热衬底;用具有控温表面的梭将衬底从烘烤板传送到冷却板;用冷却板冷却衬底;和将衬底从集成热单元传送出来。
根据另一个实施例,在具有烘烤板和冷却板的集成热单元中处理衬底的方法包括:将衬底传送进入集成热单元,衬底具有施加在衬底上的液体光阻材料;将衬底定位在烘烤板上;用烘烤板加热衬底;将衬底从烘烤板传送到冷却板,其中,传送包括用衬底传送梭在集成热单元内将衬底沿着水平线性路径和沿着垂直路径移动;用冷却板冷却衬底;和将衬底从集成热单元传送出来。
根据另一个实施例,在具有烘烤板和冷却板的集成热单元中处理衬底的方法包括:将衬底传送进入集成热单元,衬底具有施加在衬底上的液体光阻材料;将衬底定位在烘烤板上;用烘烤板加热衬底;用具有控温表面的梭将衬底从烘烤板传送到冷却板;用冷却板冷却衬底;和将衬底从集成热单元传送出来。
根据本发明另一个实施例,提供一种快速降低烘烤板设定点温度的方法。本实施例包括在烘烤板在烘烤位置时使用烘烤板对设置在烘烤板的上表面上的衬底进行加热之后,将烘烤板垂直移动到下侧位置,在下侧位置中,烘烤板的下表面接触多个散热器,散热器适于可接合地连接到烘烤板的下表面。
本发明能够实现优于传统技术的许多好处。例如,在一个集成单元中包括烘烤板和冷却板将关于将烘烤的晶片传送到冷却板的延迟减至最小。另外,包括具有控温衬底保持表面的梭并且该梭将晶片在烘烤板和冷却板之间传送对每个晶片的历史提供了附加程度的控制,因此能够在多个晶片中实现更一致的热历史。而且,本发明实施例通过减小匀胶显影光刻工具的主要中央机械手的负载增加了室的产量,并且在主要中央机械手出现故障的情况下为后烘烤晶片提供了安全之处。其它实施例通过减小将烘烤板的设定点温度从第一温度变至第二温度所需时间量来增大晶片产量,其中第二温度低于第一温度。取决于实施例,可以实现这些好处以及其它好处的一个或者多个。在整个说明书中,尤其是以下结合附图,将更详细描述这些和其它好处。
附图说明
图1是根据本发明的集成热单元的一个实施例的概念视图;
图2A是图1所示的集成热单元的简化立体图;
图2B是图2A所示的集成热单元10的简化立体图,其中卸下了单元的顶部;
图3是图示根据本发明方法的一个实施例执行的操作序列的框图;
图4是图2B所示的烘烤平台12和冷却平台14的剖视图;
图5是根据本发明一个实施例图2B所示的冷却传送装置18的立体图;
图6是图2B所示的集成热单元的一部分的立体图,其中卸下了烘烤平台12和冷却平台14。
图7是根据本发明一个实施例图2B所示的冷却板30的立体图;
图8是根据本发明一个实施例图2B所示的烘烤板20的立体图;
图9是图8所示的烘烤板20的剖视立体图;
图10是图8和图9所示的烘烤板20的剖视图;
图11是图8所示的烘烤平台12的立体仰视图;
图12是图11所示的可接合散热器140的简化剖视图;
图13是根据本发明的集成热单元的可选实施例的概念视图;
图14是根据本发明的一个实施例的匀胶显影光刻工具的一个实施例的平面视图;和
图15是图示由图14中的匀胶显影光刻工具处理的半导体衬底的示例性处理序列的流程图。
具体实施方式
本发明一般而言提供了一种用于以较高可控方式加热和冷却衬底的方法和装置。尽管可以认识到本发明实施例在辅助确保在匀胶显影光刻工具内根据特定热配方加热和冷却的多个衬底中的每个衬底的晶片历史一致方面特别有用,但是本发明的实施例能够用在期望以较高可控方式加热和冷却衬底的其它应用中。
图1是根据本发明的集成热单元10的一个实施例的简化概念视图。集成热单元10包括烘烤平台(bake station)12、冷却平台14和传送平台16,这些平台都在封闭的壳体40内。冷却平台14包括用于根据需要将衬底在烘烤平台和冷却平台之间传送的梭18。烘烤平台12包括烘烤板20、外壳(enclosure)22和冷却基座24。烘烤平台20在晶片装载位置(图1所示)、闭合的加热位置(其中,烘烤板由电动升降机构28向蛤壳式外壳22驱动至蛤壳式外壳22内)和冷却位置(其中,烘烤板接触冷却基座24)之间移动。当例如切换到新的热配方时,冷却基座24可接合地连接到烘烤板,使得烘烤板的设定点温度能够快速地从较高烘烤温度变至较低烘烤温度。
冷却平台14包括冷却板30和微粒屏蔽罩32,当梭18经过冷却平台以将晶片传送进/出烘烤平台12时,微粒屏蔽罩32保护位于冷却板30上的晶片免于受到可能的微粒污染。衬底能够分别通过细长开口传送进/出热单元10,该细长开口可操作地连接到隔板34a和34b。
如图2A所示,图2A是图1所示的集成热单元10的简化立体图,热单元10包括由铝或者其它适合材料制成的外部壳体40。壳体40的高度较长,以允许烘烤平台12、冷却平台14和梭平台16彼此横向相邻,并且允许在以下参照图14所述的匀胶显影光刻工具中多个集成热单元彼此顶部堆叠。在一个特定实施例中,壳体40仅仅20厘米高。
壳体40包括侧部件40a、顶部件40b和底部件40c。前侧部件40a包括两个细长开口41a、41b,这两个细长开口41a、41b允许衬底传送进/出热单元。隔板34a(未示出)可操作地连接到开口41a以关闭和密封开口41a,隔板34b(未示出)可操作地连接到开口41b以关闭和密封开口41b。壳体40的顶部件40b包括冷却剂通道42,当适合的板(未示出)经由螺钉孔44安装到顶部件40b时,该冷却剂通道42允许冷却流体通过该通道进行循环,以控制顶部件40b的温度。类似的冷却剂通道形成在底部件40c的下表面中。
图2A还示出控制烘烤平台12的精确烘烤操作和冷却平台14的精确冷却操作的各种控制电路46a-46d;和轨道48和49,轨道48和49使梭18(在图2A中看不见)沿着热单元的长度方向直线运动,并且在热单元内垂直运动(这将在下面详述)。在一个实施例中,控制电路46a-46b位于平台12和14附近(例如,3英尺内),以能够对每个平台有关的温度调节机构进行更精确和响应控制。
图2B是集成热单元10的简化立体图,图中卸下了顶部件40b和图1所示的微粒屏蔽罩32。在图2B中,可以看见梭18、冷却板30和烘烤平台12的蛤壳式外壳22。还可以看见壳体40的后支撑件90和底部件40c之间的间隙47。间隙47还在图5中可以看见,并且沿着集成热单元10的大部分长度延伸,以允许梭18将晶片在平台12、14和16之间传送(这将在以下详述)。
为了更好了解和理解集成热单元10的整个操作,现在参照图3以及图1和2B。图3是简化框图,示出的操作序列是根据本发明方法的一个实施例由热单元10执行对晶片进行热处理。晶片可以在例如在匀胶显影光刻工具的合适涂覆平台处在晶片上沉积光阻层之后,根据图3所述的程序进行处理。尽管以下集中论述对单元10内的单个晶片进行的处理,但是本领域的一般技术人员将理解,热单元10常常用来同时处理两个晶片。例如,尽管在烘烤板20上对一个晶片进行加热,但是热单元10能够在冷却板30上对另一个晶片进行冷却的处理、或者在另一个晶片完成热处理时将其传送出热单元的处理。
如图3所示,通过将晶片经由晶片传送细长开口41b传送进入热单元10,然后将晶片放在梭平台16处的固定升降销36(图1)上,开始晶片在热单元10中的历史(图3,步骤50)。晶片可以由例如中央机械手传送进入热单元10,该中央机械手在匀胶显影光刻工具(未示出)中用于晶片传送细长开口41a和41b、以及一个或者多个涂覆或者显影平台。通常的晶片传送细长开口41b由隔板34b的关闭,因此步骤50还包括移动隔板34b以打开细长开口41b。在步骤50过程中,梭18处于平台16处的晶片接收位置,在此处,升降销36延伸通过梭18的细长孔19a和19b。在晶片适当地放置在升降销36上之后,机械手臂收回离开热单元,并且冷却梭18上升将晶片抬起离开固定升降销36(图3,步骤51),然后沿着热单元的长度直线移动以将晶片传送到烘烤平台12(图3,步骤52)。到烘烤平台12的路径使梭18越过冷却平台14处的微粒屏蔽罩32。
在烘烤平台12处,晶片置于升降销38上,并且梭18有空处理另一个任务或者返回到梭平台16处的起始位置(图3,步骤53)。当梭返回到起始位置时,烘烤板20由电动升降机构28抬起,由此将晶片拾起使其脱离固定升降销38,然后将晶片带入蛤壳式外壳内的烘烤位置。一旦晶片在蛤壳式外壳内,根据所需的热配方对晶片进行加热或者烘烤(图3,步骤55)。
在完成烘烤步骤55之后,烘烤板20降低到将晶片放到升降销38的晶片接收位置(图3,步骤56)。接着,梭18返回烘烤平台12,然后拾起晶片使其离开升降销38(图3,步骤57),并将晶片带入冷却平台14(图3,步骤58)。到冷却平台14的路径使得梭越过微粒屏蔽罩32到梭平台16,在那里梭18下降然后向冷却平台14移动。一旦在冷却平台14处,升降销37由气动升降装置升高,以升高晶片使其离开梭(图3,步骤59)。梭18然后有空处理另一个任务,或者返回到平台16处的起始位置(图3,步骤60),并且升降销37下降将晶片放在冷却板30上(图3,步骤61)。
然后根据预定热配方在冷却板30上冷却晶片(图3,步骤62)。在完成冷却处理之后,升降销37升高拾起冷却板上的晶片(图3,步骤63),然后通过例如在步骤50将晶片传送进入热单元的相同中央机械手拾起晶片而使晶片通过细长开口41a从集成热单元传送出来(图3,步骤64)。通常,细长开口41a由隔板34a关闭,因此步骤64包括打开隔板34a,以打开细长开口41a。
本发明实施例允许如上所述的处理以较高可控方式和较高可重复方式执行。因此,本发明实施例有助于确保每个晶片的热处理的极高程度的一致性,其中每个晶片在集成热单元10内根据特定热配方处理。如下详述,能够彼此独立使用或者结合使用本发明的许多具体方面以有助于实现可重复的一致的晶片历史。
一个这样的方面是相对于冷却板30布置烘烤板20。具体地,在本发明一些实施例中,烘烤板20位于集成热单元10内的位置比冷却板30的位置要高。因为烘烤板20产生的热量一般上升到热单元10的上部,这样的位置有助于使烘烤平台和冷却平台之间的串热减至最小,否则这样的串热会导致晶片的热处理随着时间经过而产生差异。
该发明方面在图4中示出,图4是示出烘烤板20和冷却板30的集成热单元10的一部分的简化剖视图。如在图4所示,当烘烤板20在蛤壳式外壳22内的烘烤位置71处时,晶片支撑表面70在水平面A上,而冷却板30的晶片支撑表面72在水平面C上,水平面A正好在水平面C上方。在一些实施例中,平面A在平面C上方至少4cm处,在优选实施例中,平面A在平面C上方6cm处。而且,在本发明一些实施例中,即使当烘烤板在晶片接收位置的同时与散热器140(后述)接合时,烘烤板的上表面70在水平面B上,水平面B在冷却板的上表面72(平面C)的上方。在一些实施例中,平面B至少在平面C上方2cm处,在一个特定的实施例中,平面B在平面C上方2.5cm处。另外,在一些实施例中,微粒屏蔽罩32的上表面在平面B中、或者大致接近平面B。
维持烘烤板20和冷却板30位置之间的这样的高度差有助于使两个平台之间的串热减至最小,并且有助于确保自多个晶片之间进行较高程度地受控的可重复热处理。
本发明的有助于确保每个晶片的热处理极高程度的均匀性的另一个方面是梭18的设计。如在图5所示,图5是梭18的简化立体图,梭包括晶片接收区域74,在梭将晶片从一个平台传送到另一个平台时将半导体晶片放在晶片接收区域74上。在一个实施例中,梭18由铝制成,梭的晶片接收区域74和上表面75的其它部分由冷却剂(例如,去离水)积极地冷却,冷却剂通过梭中的冷却剂通道(在图4中示出为通道75)流动。
冷却剂由连接到进口/出口76的管子输送到通道75,入口/出口76连接到梭18的部分79内的歧管(未示出),歧管有助于将流体在整个梭中均匀分配。当梭18沿着集成热单元的长度横向移动时,流体管至少部分地由管支撑机构77的指状物78支撑。积极冷却晶片接收表面74有助于在晶片在热单元10内时一直维持对晶片温度的精确热控制。对梭18进行积极冷却还比如果直到晶片传送到专用的冷却平台才开始进行这样的积极冷却的情况要更早开始晶片冷却处理,这减小了晶片的总热预算。
图5还示出狭槽19a、19b、晶片小型按钮80和小接触面积接近销82,并且狭槽19a、19b允许梭在升降销保持的晶片下方定位和移动。例如,在冷却平台14a中,在冷却步骤63前后,晶片保持在冷却板上方的一组布置成三角形的三个升降销上(见图7,示出了允许升降销延伸通过冷却板30的孔84)。将狭槽19a对准,以允许梭18滑动经过三个升降销中的两个,并且将狭槽19a对准,以允许梭滑动经过第三个升降销。小型按钮80拧入梭18的上表面中的螺纹孔中,并且在该表面上延伸以帮助将晶片在晶片接收区域74内定心。小型按钮80能够由诸如热塑性材料的任何适当柔软材料制成,这样的材料显示了很强的抗疲劳和热稳定性。在一个实施例中,按钮80由公知为PEEK的聚醚醚酮制成。
接近销82在梭18的上表面74上分布,并且由诸如蓝宝石的具有低摩擦系数的材料制成。接近销82允许使由梭18正在运输的晶片很接近控温表面74。晶片和控温表面74之间的小间距有助于在晶片的整个表面区域上形成均匀的冷却,同时使晶片的下侧和梭之间的接触减小至最小,因此减小了由于这样的接触而产生微粒或者污染物的可能性。接近销83的进一步的细节在于2005年4月20日提交的题为“Purged Vacuum ChuckWith Proximity Pins”的U.S.申请No.11/111,155(代理人案卷号No.A9871/T60200)中阐述,该专利文献出于各种目的通过引用的方式包含于此。在一个特定的实施例中,梭18包括四个小型按钮80和十七个接近销82。
梭18还包括细长U形支撑架86,该支撑架86允许梭安装到图6所示的支撑板88,图6是已经卸下烘烤平台12和冷却平台14的集成热单元10的一部分的立体图。如图6所示,支撑板88通过狭槽47环扣在后支撑件90下面和周围,后支撑件90安装到底板40c。板88(和因此的梭18)能够沿着轨道48直线移动(水平路径X)。板88还沿着轨道49垂直滑动,以允许梭18上升和下降(垂直路径Z),以在特定平台处拾起和/或放下晶片。
现在参照图7,图7是根据本发明一个实施例的冷却板30的立体图,冷却板30包括冷却剂入口95和出口96,冷却剂入口95和出口96允许诸如去离水的冷却剂流体通过冷却剂通道(未示出)循环以冷却支撑在晶片支撑表面72上的晶片。冷却板30还包括许多类似于参照图5描述的按钮80和接近销82的晶片小型按钮85和小接触面积的接近销83。在一个特定的实施例中,冷却板30包括八个小型按钮85和十七个接近销83。另外,尽管没有在图7示出,冷却板30可以包括多个真空端口,并且可操作地连接到真空卡盘以在冷却处理过程中将晶片固定到冷却板。
同样在图7中没有示出,微粒屏蔽罩32(图1所示)位于冷却板30的上方,以当梭18在烘烤平台12和传送平台16之间冷却板30上方横向移送时,保护冷却板和在冷却板上的任何晶片不会受到可能的微粒污染。微粒屏蔽罩32连接到烘烤平台12和冷却平台14之间(见图4)的底部壳体件40c,并且连接到壳体40的前侧部件40a,连接的方式是在需要时允许梭18经过微粒屏蔽罩的下方并访问冷却板30。在一个特定实施例中,微粒屏蔽罩32由不锈钢制成。
现在参照图8、图9和图10,图8是根据本发明一个实施例的图2B所示的烘烤平台12的立体图;图9是图8所示的烘烤平台12的剖视立体图,图10是烘烤平台的剖视图。如在图8-图10所示,烘烤平台12具有三个独立的等温加热元件:烘烤板20、顶部加热板110和侧部加热板112,各个板由热导率较高的材料(诸如铝或者其它适合的材料)制成。加热元件(例如,电阻加热元件)嵌在每个板20、110、112内。烘烤平台12还分别包括侧部上、下隔热罩116和118、以及包围烘烤板20和盖子120的底部杯子119(仅仅在图10示出)。隔热罩116、118、杯子119和盖子120各由铝制成。盖子120通过八个螺钉拧入穿过螺纹孔115而安装到顶部加热板110。
烘烤板20可操作地连接到电动升降机构26,使得烘烤板能够上升到蛤壳式外壳22中和下降到晶片接收位置。通常,当晶片上升到图4中位置71所示的烘烤位置时在烘烤板20上受热。当在烘烤位置时,杯子119环绕侧部加热板112的底部部分,以形成蛤壳布置,以辅助将由烘烤板20产生的热限定在由烘烤板和外壳22所形成的内部空腔内。在一个实施例中,烘烤板20的上表面包括与梭18和冷却板30有关描述类似的八个晶片小型按钮和十七个接近销。另外,在一个实施例中,烘烤板20包括多个真空端口,并且可操作地连接到真空卡盘以在烘烤处理过程中将晶片固定到烘烤板。
在烘烤处理过程中,面板122正好位于烘烤板20的晶片支撑面70的上方,并且与其相对。面板122可以由铝以及其它适合材料制成,并且包括多个孔或者通道122a,这些孔或者通道122a允许气体和污染物受到烘烤而离开正在烘烤板20上进行烘烤的晶片表面,以漂过面板122汇入到径向向内的气流124中,气流124形成在面板122和顶部加热板110之间。
来自径向向内的气流124的气体最初由气体入口管线127引入到烘烤平台12的环形气体歧管126,环形气体歧管126环绕顶部加热板110的外部部分。气体歧管126包括多个小气体入口130(在一个实施例中是128个入口),该气体入口130允许气体从歧管126流入顶部加热板110的下表面和面板122的上表面之间的空腔132中。气体径向向内朝着平台的中心流过扩散板134,扩散板134包括多个气体出口孔136。在流过扩散板134之后,气体通过气体出口管线128离开烘烤平台12。
以下将图11和图12进行论述本发明的一个方面,其通过集成热单元10使从一个热配方切换到另一个热配方的任何延迟减至最小,并且因此而辅助确保高的晶片吞吐量。图11是图8-图10示出的烘烤平台12的立体仰视图。如在图11所示,在本发明的一个实施例中,烘烤平台12包括多个可接合的散热器140。每个可接合的散热器140由适合的散热器材料(诸如铝、铜、不锈钢或者其它金属)制成。
如之前所提及,烘烤板20根据特定热配方加热晶片。热配方的一个要素通常是设定点温度,将烘烤板设定在该设定点温度加热晶片。在烘烤处理过程中,例行公事地测量晶片温度,并且可以调节烘烤板的一个或者多个区域以确保对衬底进行均匀的加热。通常,在根据相同的热配方处理大批晶片的同时,将烘烤板加热到所需的设定点温度。因此,例如,如果特定的热配方要求175℃的设定点温度,并且该配方在100个连续的晶片上实施,则在处理100个连续晶片所需时间长度期间,将烘烤板20加热到175℃。然而,如果根据不同热配方要处理随后一批200个晶片,该配方例如要求130℃的设定点温度,则在处理第100个衬底和第101个衬底之间,烘烤板20的设定点温度需要快速地从175℃变化到130℃。
本发明的实施例通过用电动机26将烘烤板下降到更低的冷却位置(该位置在晶片接收位置下方)而使烘烤板20的设定点的温度能够得到快速降低。在冷却位置,烘烤板的底表面接触每个散热器140的上表面。散热器和烘烤板之间可以接触,因为底部杯子119包括多个孔138,该多个孔138对应于多个散热器140,以允许散热器延伸通过底部杯子119接触烘烤板20。
图12是放大的可接合散热器140的简化剖视图。如图12所示,每个可接合散热器140包括下基部144,该下基部144的直径比散热器的主体大。下基部144装配在由底部基板40c和铝板150所限定的空腔152内。散热器的基部144接合底部基板的凸缘154,并且通过铝板150和基部144之间的弹簧145压靠该凸缘。
当烘烤板20下降到冷却位置时,弹簧145使得散热器140压在烘烤板的下表面73上。所有散热器140的组合热质量允许烘烤板20根据需要(例如,当过渡到新的热配方时)从设定点温度快速冷却到更低的设定点温度。
尽管图11和图12所示的散热器140的形状示出为柱形,也能够使用许多其它形状和尺寸。另外,在一些实施例中,通过在散热器主体内形成一个或者多个冷却剂通道而积极地冷却每个散热器140。另外,在一些实施例中,散热器140包括在其上表面142上的热垫(thermal pad),以在接合过程中提供散热器和烘烤板之间的光滑接触。
图13是根据本发明的集成热单元150的另一个实施例的概念性视图。图13中的本发明的实施例和图1中的实施例之间的主要不同分别是烘烤平台12、冷却平台14和梭平台16的放置。在图13中,梭(梭152,可以比作梭18)已经移动到烘烤平台和冷却平台之间的中央位置。这样的布置提供了这样的好处,即进一步降低烘烤平台和冷却平台之间串热,并且还减轻了对将微粒屏蔽罩32定位在冷却板30的上方的需要,这是因为梭18不必“飞过”冷却板以将晶片输送到烘烤板20。图1的布置与图13的布置相比的一个好处是:当梭处于接收传递到集成热单元的晶片的位置时,可以将梭18从烘烤板20分开。
另外,图13中的梭152可操作地构造成沿着X轴线(水平路径)沿着壳体40的长度直线移动,但是不构造成垂直移动。这些不同要求各个烘烤平台、冷却平台和梭平台处的升降销可移动,以正确地在梭152和平台之间交换晶片。
图14是匀胶显影光刻工具200的一个实施例的平面视图,在匀胶显影光刻工具200中可以使用本发明实施例。如在图14所示,匀胶显影光刻工具200包含前端模组210(有时称为工厂接口210)、中央模组212、和后模组214(有时称为扫描机(scanner)接口)。前端模组210一般包含一个或者多个晶舟组件或者FOUPS(例如,标号216A-216D)、前端机械手218和前端处理架(rack)220A、220B。一个或者多个晶舟组件216A-216D一般适于接收一个或者多个晶盒230,晶盒230可以容纳一个或者多个将要在匀胶显影光刻工具200中处理的衬底“W”、或者晶片。
中央模组212一般包含第一中央处理架222A、第二中央处理架222B和中央机械手224。后模组214一般包含第一和第二后处理架226A、226B以及后端机械手228。前端机械手218适于访问前端处理架220A、220B中的处理模组;中央机械手224适于访问前端处理架220A、220B、第一中央处理架222A、第二中央处理架222B和/或后处理架226A、226B中的处理模组;后端机械手228适于访问后处理架226A、226B中的处理模组,并且在一些情况下与步进机/扫描机5交换衬底。
步进机/扫描机5可以从San Jose,CA的Canon USA,Inc.、Be1mont,CA的Nikon Precision Inc.或者Tempe Arizona的ASML US,Inc.购买,并且是例如用在集成电路(IC)制造中的光刻投影设备。扫描机/步进机5将沉积在组合工具中衬底上的光敏材料(光阻)暴露到某种形式的电磁辐射,以产生电路图案,该图案对应于将要形成在衬底表面上的集成电路(IC)器件的单个层。
各个处理架220A、220B;222A、222B和226A、226B包含垂直堆叠布置的多个处理模组。即,各个处理架可以包含多个堆叠集成热单元10、多个堆叠涂覆器模组232、共用分配装置234的多个堆叠涂覆器/显影器模组234、或者适于执行匀胶显影光刻工具所需的各种处理步骤的其它模组。作为示例,涂覆器模组232可以沉积底部抗反射涂层(BARC);涂覆器/显影器模组234可以用来沉积和/或显影光阻层,并且集成热单元10可以执行与硬化的BARC和/或光阻层有关的烘烤和冷却操作。
在一个实施例中,系统控制器240用来控制在组合工具200中执行的所有部件和处理。控制器240一般适于与步进机/扫描机5通信、监控在组合工具200中执行的处理的各个方面,并适于控制完成衬底处理序列的所有方面。在一些情况下,控制器240联合其它控制器(诸如控制器46A-46D,其控制集成热单元10的热板20和冷却板30)工作,以控制处理序列的某些方面。控制器240通常是基于微处理器的控制器,并且构造成从使用者和/或在其中一个处理室中的各种传感器接收输入,并且根据各种输入和保持在控制器存储器中的软件指令适当地控制处理室的部件。控制器240一般包含存储器和CPU(未示出),当需要时控制器可以使用存储器和CPU以保持各种程序、处理程序和执行程序。存储器(未示出)连接到CPU,并且可以是容易获得的存储器(诸如随机存储器(RAM)、只读存储器(ROM)、软盘、硬盘或者本地或者远程的任何其它数字存储器)中一个或者多个。软件指令和数据可以编码和储存在存储器中以指令CPU。辅助电路(未示出)还连接到CPU,以传统的方式辅助处理器。辅助电路可以包括高速缓冲存储器、电源、时钟电路、输入/输出电路、子系统和现有技术中所公知的等等。可由控制器240读取的程序(或者计算机指令)判定哪个任务可在处理室中执行。优选地,程序是由控制器240读取的软件,并且包括基于限定的规则和输入数据监控和控制处理的指令。
要理解到,本发明实施例不限于使用如图14所述的匀胶显影光刻工具。相反,本发明实施例可以用在包括许多以下工具构造的任何匀胶显影光刻工具,这些设备构造在于2005年4月22日提交的题为“Cluster ToolArchitecture for Processing a Substrate”的U.S.申请No.11/112,281中描述,该专利文献出于各种目的通过引用的方式而包含于此。该匀胶显影光刻工具也可以包括没有在11,112,281申请中所描述的构造。
图15的流程图图示了用于在匀胶显影光刻工具200内处理的半导体衬底的示例性处理序列。本领域的技术人员将了解到以下参照图15描述的各种处理步骤为将要采用的本发明方法提供了许多不同机会。本领域技术人员将了解到本发明方法的各种实施例不限于图15所阐述的特定处理序列,并且相反能够用在处理步骤的任何序列或者任何应用,只要期望能够显示根据特定处理配方对多个衬底的热处理(和尤其是互补的烘烤和冷却步骤)进行较高程度的控制就可以了。
图15图示了一系列方法步骤300的一个实施例,其可以用来对在衬底表面上形成的光阻材料层进行沉积、曝光和显影。光刻处理一般可以包括以下:将衬底传送到涂覆模组步骤310、底部抗反射涂层(BARC)涂覆步骤312、后BRAC烘烤步骤314、后BARC冷却步骤316、光阻涂覆步骤318、后光阻烘烤步骤320、后光阻冷却步骤322、晶片边缘球状物去除(OEBR)步骤324、曝光步骤326、后曝光烘烤(PEB)步骤328、后曝光烘烤冷却步骤330、显影步骤332、衬底清洗步骤334、后显影冷却步骤336和将衬底传送到晶舟步骤338。在其它实施例中,在不改变本发明基本范围的情况下,方法步骤300的序列可以重新排列、变更,可以移除一个或者多个步骤、可以增加附加步骤或者将两个或者多个步骤合并成一个步骤。
在步骤310,将半导体衬底传送到涂覆模组。参照图14,将衬底传送到涂覆模组310的步骤一般限定为使前端机械手218将衬底从搁在其中一个晶舟组件216中的晶盒230取出的处理。晶盒230包含一个或者多个衬底“W”,并且由使用者或者一些外部装置(未示出)放置在晶舟组件216上,使得衬底能够通过由保持在系统控制器240中的软件控制的使用者限定的衬底处理序列在组合工具200中进行处理。
BARC涂覆步骤310是用来在衬底表面上沉积有机材料的步骤。BARC层通常是有机涂层,该有机涂层先于光阻层施加到衬底上,以吸收光,否则在步进机/扫描机5中执行的曝光步骤326过程中光会从衬底表面发射回到光阻。如果不防止这样的反射,则将在光阻层形成驻波,这使得特征尺寸会取决于光阻层的局部厚度而从一个位置到另一个位置变化。BARC层还可以用来使衬底表面形貌平面化(或者平坦化),这通常出现在完成多个电子器件制造步骤之后。BARC材料填充特征及其周围以形成扁平表面用于施加光阻层,并且减小了光阻厚度的局部变化。
通常使用传统的旋转涂覆(spin-on)的光阻分配处理来执行BARC涂覆步骤310,在该涂覆处理中,在衬底正在旋转的同时将一定量的BARC材料沉积在衬底表面上,这使得BARC材料中的溶剂蒸发,因而使所沉积的BARC材料的材料属性变化。通常控制BARC处理室中的气流和排气流速率以控制溶剂蒸发处理和在衬底表面上形成的层的属性。
后BARC烘烤步骤314是用来确保所有的溶剂从BARC涂覆步骤312中的所沉积的BARC层移除的步骤,并且在一些情况下,促进BARC层粘附到衬底表面。后BARC烘烤步骤314的温度取决于沉积在衬底表面上的BARC材料的类型,但是一般低于约250℃。完成后BARC烘烤步骤314所需时间将取决于后BARC烘烤步骤期间衬底的温度,但是一般低于约60秒。
后BARC冷却步骤316是用来控制和确保衬底温度在周围温度之上的时间一致,使得每个衬底具有相同的时间-温度分布,因而将处理变化性减至最小。BARC处理时间-温度分布是衬底晶片历史的一部分,其变化能够对所沉积的膜层属性产生影响,因而通常控制该变化以将处理变化性减至最小。后BARC冷却步骤316通常用来将后BARC烘烤步骤314之后的衬底冷却到周围温度或者周围温度左右。完成后BARC冷却步骤316所需时间将取决于离开后BARC烘烤步骤的衬底温度,但是一般低于30秒。
光阻涂覆步骤318是用来在衬底表面上沉积光阻层的步骤。在光阻涂覆步骤318期间所沉积的光阻层通常是施加到衬底上的光敏有机涂层,并且在后面在步进机/扫描机5中曝光以在衬底表面上形成图案化构件。通常使用传统的旋转涂覆光阻分配处理执行光阻涂覆步骤318,在该光阻分配处理中,在衬底正在旋转的同时将一定量的光阻材料沉积在衬底表面上,这使得光阻材料中的溶剂蒸发,因而使所沉积的光阻层中的材料属性发生变化。控制光阻处理室中的气流和排出气流速率以控制溶剂蒸发处理和在衬底表面上形成的层属性。在一些情况下,需要通过控制排气流速率和/或通过在衬底表面附近喷射溶剂,控制在衬底表面上的溶剂的压力以在光阻涂覆步骤期间控制溶剂从光阻中蒸发。参照图14,在示例性光阻涂覆处理中,衬底首先定位在涂覆器/显影器模组234中的晶片卡盘上。在光阻分配到衬底中心的同时,电动机转动晶片卡盘和衬底。该转动将角扭矩(angular torque)传递到光阻,迫使光阻径向向外流动,最终覆盖衬底。
光阻烘烤步骤320是用来确保所有溶剂从在光阻涂覆步骤318中所沉积光阻层移除的步骤,并且在一些情况下促进光阻层粘附到BARC层。后光阻烘烤步骤320的温度依赖于沉积在衬底表面上的光阻材料的类型,但是一般低于约350℃。完成后光阻烘烤步骤320所需时间取决于在后光阻烘烤步骤期间衬底的温度,但是一般低于约60秒。
后光阻冷却步骤322是用来控制衬底温度在周围温度之上的时间,使得每个衬底具有相同的时间-温度分布,因而将处理变化性减至最小。时间-温度分布的变化性能够对所沉积膜层属性产生影响,因而通常受到控制以将处理变化性减至最小。后光阻冷却步骤322的温度因而用来将后光阻烘烤步骤320之后的衬底冷却到周围温度或者周围温度左右。完成后光阻冷却步骤322所需时间取决于离开后光阻烘烤步骤时衬底的温度,但是一般低于30秒。
晶片边缘球状物去除(OEBR)步骤324是这样的处理,该处理用来将所沉积的光敏光阻层(诸如,在光阻涂覆步骤318期间形成的层和在BARC涂覆步骤312期间形成的BARC层)曝光到辐射源(未示出),使得能够从衬底边缘去除一个或者两个层,并且能够更一致地控制所沉积层的边缘突出物去除。用来对衬底表面进行曝光的辐射的波长和强度取决于沉积在衬底表面上的BARC和光阻层的类型。OEBR工具能够例如从Cypress,CA的USHIO America,Inc.购买。
曝光步骤326是由光刻投影装置(例如,步进机扫描机5)施加的光刻投影步骤,以形成用来制造集成电路(IC)的图案。通过将光敏材料(诸如,在光阻涂覆步骤318期间形成的光阻层和在BARC涂覆步骤312期间形成的BARC层)曝光到某种形式的电磁辐射,曝光步骤326形成对应于衬底表面上集成电路(IC)器件的单个层的电路图案。
后曝光烘烤(PEB)步骤328是用来紧接在曝光步骤326之后加热衬底,以刺激感光化合物的扩散和减小光阻层中驻波的影响。对于化学增大光阻,PEB步骤还引起催化化学反应,该反应改变了光阻的可溶性。在PEB期间温度的控制通常对临界尺寸(CD)控制是关键的。PEB步骤328的温度取决于沉积在衬底表面上的光阻材料的类型,但是一般低于约250℃。完成PEB步骤328所需时间取决于在PEB步骤期间衬底的温度,但是一般低于60秒。
后曝光烘烤(PEB)冷却步骤330是用来控制确保衬底温度在周围温度之上的时间受到控制,使得每个衬底具有相同时间-温度分布,因而将处理变化性减至最小。PEB处理时间-温度分布的变化能够对所沉积膜层的属性产生影响,因而通常受到控制,以将处理变化性减至最小。PEB冷却步骤330的温度因而用来将PEB步骤328之后的衬底冷却到周围环境温度或者周围环境温度左右。完成PEB冷却步骤330所需时间取决于离开PEB步骤的衬底温度,但是一般低于约30秒。
显影步骤332是这样的处理,即在该处理中使用溶剂来使曝光或者未曝光的光阻和BARC层发生化学或者物理变化,以对在曝光处理步骤326期间形成的图案进行曝光。显影处理可以是用来分配显影器的溶剂的喷涂或浸入或者搅拌(puddle)型处理。在一些显影处理中,在显影处理期间施加显影剂溶液和旋转之前,衬底涂覆有流体层(通常是去离水)。随后施加显影剂溶液,使得显影剂在衬底表面上均匀的涂覆。在步骤334中,向衬底表面提供清洗溶液以结束显影处理。仅仅以示例的方式,清洗溶液可以是去离水。在可选的实施例中,提供去离水结合表面活性剂的清洗溶液。本领域的技术人员将了解到许多变化、修改和替换。
在步骤336,衬底在显影和清洗步骤332和334之后受到冷却。在步骤338中,衬底传送到晶舟,因此完成了处理序列。在步骤338中将衬底传送到晶舟一般需要使前端机械手218将衬底送回位于其中一个晶舟组件216中的晶盒230的处理。
基于此处本发明的描述,本领域技术人员将理解到除了图15没有描述的步骤以外,在后BARC烘烤步骤314和后BARC冷却步骤316期间、在后PR烘烤步骤320和后PR冷却步骤322期间、在后曝光烘烤步骤328和后曝光冷却步骤330期间以及在后显影冷却步骤336期间,本发明实施例对衬底进行加热/或冷却是有好处的。本领域技术人员可以理解,上述设定的各种烘烤和冷去序列中某些可以具有不同的烘烤和冷却要求。本领域技术人员将理解到,结合到集成热单元的特定烘烤板20和/或冷却板30的功能规格将分别取决于烘烤板和/或冷却板欲加热和冷却的材料。例如,BARC材料可以适合地用低温低精度烘烤板(例如,最高250℃的一个区域的加热器)加热,而光阻材料可以要求高温中等精度烘烤板(例如,最高350℃的三个区域的加热器),并且后曝光烘烤板处理可以要求低温高精度烘烤板(例如,最高250℃、十五个区域加热器)。因此,本发明实施例不限于任何特定的烘烤板20或者冷却板30的类型或者构造。相反,一般而言,烘烤板20和冷却板30的每个根据由本领域技术人员确定的使用烘烤板和冷却板的应用需要设计成特定性能标准。
尽管已经参照特定实施例和其具体示例描述了本发明,但是应该理解到其它实施例可以落入本发明精神和范围内。因而,本发明的范围参照权利要求和等同物的全部范围确定。

Claims (95)

1.一种集成热单元,用于处理衬底,所述集成热单元包括:
烘烤板,构造成对支撑在所述烘烤板的表面上的衬底进行加热;
冷却板,构造成对支撑在所述冷却板的表面上的衬底进行冷却;和
衬底传送梭,构造成将衬底从所述烘烤板传送到所述冷却板,所述衬底传送梭具有控温衬底保持表面,所述保持表面能够对由所述烘烤板加热的衬底进行冷却。
2.根据权利要求1所述的集成热单元,还包括梭平台,在所述梭平台上的衬底能够传送进入所述热单元,并且由所述衬底传送梭拾起。
3.根据权利要求2所述的集成热单元,还包括壳体,所述烘烤板、所述冷却板和所述梭平台封闭在所述壳体内。
4.根据权利要求3所述的集成热单元,其中,所述烘烤板、所述冷却板和所述梭平台沿着所述壳体的长度方向线性布置。
5.根据权利要求4所述的集成热单元,其中,所述梭平台位于所述烘烤板和所述冷却板之间。
6.根据权利要求4所述的集成热单元,其中,所述冷却板位于所述烘烤板和所述梭平台之间。
7.根据权利要求6所述的集成热单元,还包括微粒屏蔽罩,所述微粒屏蔽罩位于所述冷却板的上方,并且其中所述衬底传送梭在所述壳体内的所述梭平台、所述冷却板和所述烘烤板之间沿着所述微粒屏蔽罩上方的线性路径移动。
8.根据权利要求4所述的集成热单元,其中,所述衬底传送梭构造成从所述壳体长度的一端沿着线性路径移动到另一端。
9.根据权利要求1所述的集成热单元,其中,所述衬底传送梭包括在衬底接收表面下方的多个冷却剂通道。
10.根据权利要求9所述的集成热单元,其中,所述衬底传送梭还包括第一细长狭槽和第二细长狭槽,其中,所述第一细长狭槽和第二细长狭槽穿过所述梭的厚度,并且在所述梭的一端开口,但是没有穿过所述梭的整个长度。
11.根据权利要求10所述的集成热单元,其中,所述衬底传送梭还包括多个小接触面积接近销,所述接近销构造成将衬底略微保持在所述控温表面上方。
12.根据权利要求11所述的集成热单元,其中,所述衬底传送销还包括多个按钮,所述多个按钮围绕所述控温表面的衬底接收区域部分的周边布置,所述多个按钮构造成将衬底固定在所述控温表面的所述衬底接收区域内。
13.一种在具有烘烤板和冷却板的集成热单元中处理衬底的方法,所述处理衬底的方法包括:
将衬底传送进入所述集成热单元,所述衬底具有施加在所述衬底上的液体光阻材料;
将所述衬底定位在所述烘烤板上;
用所述烘烤板加热所述衬底;
用具有控温表面的梭将所述衬底从所述烘烤板传送到所述冷却板;
用所述冷却板冷却所述衬底;和
将所述衬底从所述集成热单元传送出来。
14.根据权利要求13所述的处理衬底的方法,其中,所述将衬底传送进入所述集成热单元包括:
将所述衬底置于多个升降销上,所述升降销延伸通过所述梭的衬底接收表面。
15.根据权利要求14所述的处理衬底的方法,其中,所述将所述衬底定位在所述烘烤板上包括:
用所述梭将所述衬底传送到烘烤平台;
将所述衬底置于延伸通过所述烘烤板的衬底接收表面的多个升降销上;以及
将所述烘烤板升高以从所述升降销上将所述衬底拾起来。
16.根据权利要求13所述的处理衬底的方法,其中,所述将所述衬底从所述烘烤板传送到所述冷却板包括:
将所述衬底置于延伸通过所述烘烤板的多个升降销上;
用所述梭将所述衬底拾起来;
将所述衬底置于延伸通过所述冷却板的上表面的多个升降销上;以及
将所述升降销降低到所述冷却板以将所述衬底放下到所述冷却板上。
17.根据权利要求16所述的处理衬底的方法,其中,所述将所述衬底从所述烘烤板传送到所述冷却板还包括:
使所述梭经过微粒屏蔽罩上方;然后
使所述梭经过所述微粒屏蔽罩下方,所述微粒屏蔽罩位于所述冷却板上方。
18.根据权利要求13所述的处理衬底的方法,其中,所述梭位于梭平台处,所述烘烤板位于烘烤平台处,并且所述冷却板位于冷却平台处,所述集成热单元包括壳体,所述烘烤平台、所述冷却平台和所述梭平台包含在所述壳体内。
19.根据权利要求18所述的处理衬底的方法,其中,所述烘烤平台、所述冷却平台和所述梭平台沿着所述壳体的长度方向线性布置。
20.根据权利要求19所述的处理衬底的方法,其中,所述梭平台位于所述烘烤板和所述冷却板之间。
21.根据权利要求19所述的处理衬底的方法,其中,所述冷却板位于所述烘烤板和所述梭平台之间。
22.一种集成热单元,用于处理衬底,所述集成热单元包括:
壳体,具有第一访问开口和第二访问开口,所述第一和第二访问开口的每个的尺寸为允许将半导体衬底传送进出所述壳体;
第一访问隔板,可操作地构造成在打开位置和关闭位置之间移动,所述打开位置允许衬底传送通过所述第一访问开口,所述关闭位置阻止衬底传送通过所述第一访问开口;
第二访问隔板,可操作地构造成在打开位置和关闭位置之间移动,所述打开位置允许衬底传送通过所述第二访问开口,所述关闭位置阻止衬底传送通过所述第二访问开口;
烘烤平台,位于所述壳体内,所述烘烤平台包括烘烤板,所述烘烤板构造成对支撑在所述烘烤板的表面上的衬底进行加热;
冷却平台,位于所述壳体内,所述冷却平台包括冷却板,所述冷却板构造成对支撑在所述冷却板的表面上的衬底进行冷却;
梭平台,位于所述壳体内,所述梭平台适于允许衬底传送梭拾起通过第一访问开口传送进入所述热单元的衬底,其中,所述衬底传送梭构造成在将衬底从所述烘烤板传送到所述冷却板的同时积极对所述衬底进行冷却。
23.根据权利要求22所述的集成热单元,其中,所述壳体形成大致矩形的外壳,并且所述烘烤平台、所述冷却平台和所述梭平台沿着所述外壳的长度方向线性布置。
24.根据权利要求23所述的集成热单元,其中,所述梭平台位于所述烘烤平台和所述冷却平台之间。
25.根据权利要求23所述的集成热单元,其中,所述冷却平台位于所述烘烤平台和所述梭平台之间。
26.一种匀胶显影光刻工具,包括:
多个晶舟组件,适于接收一个或者多个晶盒;
一个或者多个机械手,适于将晶片从所述一个或者多个晶舟组件传送到所述匀胶显影光刻工具内的处理模组,其中,所述处理模组中至少一个包括集成热单元,所述集成热单元包括:
烘烤板,构造成对支撑在所述烘烤板的表面上的衬底进行加热;
冷却板,构造成对支撑在所述冷却板的表面上的衬底进行冷却;和
衬底传送梭,构造成将衬底从所述烘烤板传送到所述冷却板,所述衬底传送梭具有控温衬底保持表面,所述保持表面能够对由所述烘烤板加热的衬底进行冷却。
27.一种集成热单元,用于处理衬底,所述集成热单元包括:
加热平台,所述加热平台包括加热板,所述加热板构造成保持和加热衬底;
冷却平台,所述冷却平台包括冷却板,所述冷却板构造成保持和冷却衬底;和
衬底传送梭,构造成将衬底沿着所述热单元内的水平线性路径从所述烘烤板传送到所述冷却板,并且沿着所述集成热单元内的垂直路径升高和降低衬底。
28.根据权利要求27所述的集成热单元,还包括梭平台,在所述梭平台处的衬底能够传送进入所述集成热单元,并且由所述衬底传送梭拾起。
29.根据权利要求28所述的集成热单元,还包括壳体,所述烘烤板、所述冷却板和所述梭平台封闭在所述壳体内。
30.根据权利要求29所述的集成热单元,其中,所述烘烤板、所述冷却板和所述梭平台沿着所述壳体的长度方向线性布置。
31.根据权利要求30所述的集成热单元,其中,所述梭平台位于所述烘烤板和所述冷却板之间。
32.根据权利要求30所述的集成热单元,其中,所述冷却板位于所述烘烤板和所述梭平台之间。
33.根据权利要求32所述的集成热单元,还包括微粒屏蔽罩,所述微粒屏蔽罩位于所述冷却板的上方,并且其中所述衬底传送梭在所述壳体内的所述梭平台、所述冷却板和所述烘烤板之间沿着所述微粒屏蔽罩上方的水平线性路径移动。
34.根据权利要求30所述的集成热单元,其中,所述衬底传送梭构造成从所述壳体长度的一端沿着水平线性路径移动到另一端。
35.根据权利要求27所述的集成热单元,其中,所述衬底传送梭包括能够冷却衬底的控温表面。
36.根据权利要求35所述的集成热单元,其中,所述衬底传送梭包括能够对所述控温表面积极进行温度控制的多个冷却剂通道。
37.根据权利要求36所述的集成热单元,其中,所述衬底传送梭还包括多个按钮,所述多个按钮围绕所述控温表面的衬底接收区域部分的周边布置,所述多个按钮构造成将衬底固定在所述控温表面的所述衬底接收区域内。
38.一种在具有烘烤板和冷却板的集成热单元中处理衬底的方法,所述处理衬底的方法包括:
将衬底传送进入所述集成热单元,所述衬底具有施加在所述衬底上的液体光阻材料;
将所述衬底定位在所述烘烤板上;
用所述烘烤板加热所述衬底;
将所述衬底从所述烘烤板传送到所述冷却板,其中,所述传送包括用衬底传送梭在所述集成热单元内将所述衬底沿着水平线性路径和沿着垂直路径移动;
用所述冷却板冷却所述衬底;和
将所述衬底从所述集成热单元传送出来。
39.根据权利要求38所述的处理衬底的方法,其中,所述将衬底传送进入所述集成热单元包括:
(i)将所述衬底置于梭平台处的多个升降销上,所述升降销延伸通过所述梭的衬底接收表面;和
(ii)使所述梭垂直移动以将所述衬底从所述升降销拾起来。
40.根据权利要求39所述的处理衬底的方法,其中,所述将所述衬底定位在所述烘烤板上包括:
通过使所述梭沿着水平线性路径从所述梭平台移动到所述烘烤平台,用所述梭将所述衬底传送到所述烘烤平台,
41.根据权利要求39所述的处理所述衬底的方法,其中,所述将所述衬底从所述烘烤板传送到所述冷却板包括:
将所述衬底置于延伸通过所述烘烤板的多个升降销上;
用所述梭将所述衬底拾起来;
将所述衬底置于延伸通过所述冷却板的上表面的多个升降销上;和
将所述升降销降低到所述冷却板上以将所述衬底放下到所述冷却板上。
42.根据权利要求41所述的处理衬底的方法,其中,所述将所述衬底从所述烘烤板传送到所述冷却板还包括:
使所述梭沿着所述水平线性路径移动经过所述冷却板上方的微粒屏蔽罩上方;
沿着垂直路径降低所述隔板;然后
使所述梭沿着水平线性路径移动经过所述微粒屏蔽罩下方。
43.根据权利要求38所述的处理衬底的方法,其中,所述梭位于梭平台处,所述烘烤板位于烘烤平台处,并且所述冷却板位于冷却平台处,所述集成热单元包括壳体,所述烘烤平台、所述冷却平台和所述梭平台包含在所述壳体内。
44.根据权利要求43所述的处理衬底的方法,其中,所述烘烤平台、所述冷却平台和所述梭平台沿着所述壳体的长度方向线性布置。
45.根据权利要求44所述的处理衬底的方法,其中,所述梭平台位于所述烘烤板和所述冷却板之间。
46.根据权利要求44所述的处理衬底的方法,其中,所述冷却板位于所述烘烤板和所述梭平台之间。
47.一种集成热单元,用于处理衬底,所述集成热单元包括:
壳体,具有第一访问开口和第二访问开口,所述第一和第二访问开口的每个的尺寸允许将半导体衬底传送进出所述壳体;
第一访问隔板,可操作地构造成在打开位置和关闭位置之间移动,所述打开位置允许衬底传送通过所述第一访问开口,所述关闭位置阻止衬底传送通过所述第一访问开口;
第二访问隔板,可操作地构造成在打开位置和关闭位置之间移动,所述打开位置允许衬底传送通过所述第二访问开口,所述关闭位置阻止衬底传送通过所述第二访问开口;
烘烤平台,位于所述壳体内,所述烘烤平台包括烘烤板,所述烘烤板构造成对支撑在所述烘烤板的表面上的衬底进行加热;
冷却平台,位于所述壳体内,所述冷却平台包括冷却板,所述冷却板构造成对支撑在所述冷却板的表面上的衬底进行冷却;
梭平台,位于所述壳体内,所述梭平台适于允许衬底传送梭拾起通过第一访问开口传送进入所述热单元的衬底,其中,所述衬底传送梭构造成沿着所述壳体内的水平线性路径将衬底从所述烘烤板传送到所述冷却板,并且沿着所述壳体内的垂直路径升高和降低衬底。
48.根据权利要求47所述的集成热单元,其中,所述壳体形成大致矩形的外壳,并且所述烘烤平台、所述冷却平台和所述梭沿着所述外壳的长度方向线性布置。
49.根据权利要求48所述的集成热单元,其中,所述梭平台位于所述烘烤平台和所述冷却平台之间。
50.根据权利要求48所述的集成热单元,其中,所述冷却平台位于所述烘烤平台和所述梭平台之间。
51.一种匀胶显影光刻工具,包括:
多个晶舟组件,适于接收一个或者多个晶盒;
一个或者多个机械手,适于将晶片从所述一个或者多个晶舟组件传送到所述匀胶显影光刻工具内的处理模组,其中,所述处理模组中至少一个包括集成热单元,所述集成热单元包括:
加热平台,所述加热平台包括多个加热板,所述加热板构造成保持和加热衬底;
冷却平台,所述冷却平台包括冷却板,所述冷却板构造成保持和冷却衬底;
衬底传送梭,构造成沿着所述热单元内的水平线性路径将衬底从所述烘烤板传送到所述冷却板,并且沿着所述壳体内的垂直路径升高和降低衬底。
52.一种集成热单元,用于处理衬底,所述集成热单元包括:
烘烤板,所述烘烤板具有衬底保持表面,其构造成在烘烤位置保持和加热衬底;
冷却板,所述冷却板具有衬底保持表面,其构造成在冷却位置保持和冷却衬底;和
其中,当所述烘烤板在烘烤位置时,所述烘烤板的所述衬底保持表面位于第一基本水平平面,当所述冷却板在冷却位置时,所述冷却板的所述衬底保持表面位于第二基本水平平面。
53.根据权利要求52所述的集成热单元,其中,所述烘烤板和所述冷却板在所述集成热单元内彼此相邻水平定位。
54.根据权利要求53所述的集成热单元,还包括梭平台,在所述梭平台处的衬底能够传送进入所述热单元,并且由所述衬底传送梭拾起。
55.根据权利要求54所述的集成热单元,还包括壳体,所述烘烤板、所述冷却板和所述梭平台封闭在所述壳体内。
56.根据权利要求55所述的集成热单元,其中,所述烘烤板、所述冷却板和所述梭平台沿着所述壳体的长度方向线性布置。
57.根据权利要求56所述的集成热单元,其中,所述梭平台位于所述烘烤板和所述冷却板之间。
58.根据权利要求56所述的集成热单元,其中,所述冷却板位于所述烘烤板和所述梭平台之间。
59.根据权利要求52所述的集成热单元,其中,所述第一平面至少在所述第二平面上方4cm处。
60.根据权利要求59所述的集成热单元,其中,当所述烘烤板在衬底接收位置时,所述烘烤板的所述衬底保持表面位于第三基本水平平面,并且所述第三平面位于所述第二平面上方。
61.根据权利要求60所述的集成热单元,其中,所述第三平面在所述第一平面下方,并且在所述第二平面上方至少2cm处。
62.根据权利要求60所述的集成热单元,还包括微粒屏蔽罩,所述微粒屏蔽罩位于所述冷却板的上方。
63.根据权利要求62所述的集成热单元,其中,所述微粒屏蔽罩的顶部表面大致位于所述第三平面中。
64.根据权利要求60所述的集成热单元,其中,所述衬底传送梭构造成从所述壳体长度的一端沿着线性路径移动到另一端,所述线性路径位于所述第一和第二平面之间。
65.根据权利要求64所述的集成热单元,其中,所述线性路径位于所述第一和第三平面之间。
66.根据权利要求52所述的集成热单元,其中,所述衬底传送梭包括在衬底接收表面下的多个冷却剂通道。
67.一种在具有烘烤板和冷却板的集成热单元中处理衬底的方法,所述方法包括:
将衬底传送进入所述集成热单元,所述衬底具有施加在所述衬底上的液体光阻材料;
将所述衬底定位在所述烘烤板上;
用所述烘烤板加热所述衬底;
用具有控温表面的梭将所述衬底从所述烘烤板传送到所述冷却板;
用所述冷却板冷却所述衬底;和
将所述衬底从所述集成热单元传送出来。
68.根据权利要求64所述的处理衬底的方法,其中,所述将衬底传送进入所述集成热单元包括:
将所述衬底置于多个升降销上,所述升降销延伸通过所述梭的衬底接收表面。
69.根据权利要求65所述的处理衬底的方法,其中,所述将所述衬底定位在所述烘烤板上包括:
用所述梭将所述衬底传送到烘烤平台;
将所述衬底置于延伸通过所述烘烤板的衬底接收表面的多个升降销上;和
将所述烘烤板升高以从所述升降销上将所述衬底拾起来。
70.根据权利要求64所述的处理衬底的方法,其中,所述将所述衬底从所述烘烤板传送到所述冷却板包括:
将所述衬底置于延伸通过所述烘烤板的多个升降销上;
用所述梭将所述衬底拾起来;
将所述衬底置于延伸通过所述冷却板的上表面的多个升降销上;和
将所述升降销降低到所述冷却板以将所述衬底放下到所述冷却板上。
71.根据权利要求67所述的处理衬底的方法,其中,所述将所述衬底从所述烘烤板传送到所述冷却板还包括:
使所述梭经过微粒屏蔽罩上方;然后
使所述梭经过所述微粒屏蔽罩下方;
其中所述微粒屏蔽罩位于所述冷却板上方。
72.根据权利要求64所述的处理衬底的方法,其中,所述梭位于梭平台处,所述烘烤板位于烘烤平台处,并且所述冷却板位于冷却平台处,所述集成热单元包括壳体,所述烘烤平台、所述冷却平台和所述梭平台包含在所述壳体内。
73.根据权利要求69所述的处理衬底的方法,其中,所述烘烤平台、所述冷却平台和所述梭平台沿着所述壳体的长度方向线性布置。
74.根据权利要求70所述的处理衬底的方法,其中,所述梭平台位于所述烘烤板和所述冷却板之间。
75.根据权利要求70所述的处理衬底的方法,其中,所述冷却板位于所述烘烤板和所述梭平台之间。
76.一种集成热单元,用于处理衬底,所述集成热单元包括:
壳体,具有第一访问开口和第二访问开口,所述第一和第二访问开口的每个的尺寸为允许将半导体衬底传送进出所述壳体;
第一访问隔板,可操作地构造成在打开位置和关闭位置之间移动,所述打开位置允许衬底传送通过所述第一访问开口,所述关闭位置阻止衬底传送通过所述第一访问开口;
第二访问隔板,可操作地构造成在打开位置和关闭位置之间移动,所述打开位置允许衬底传送通过所述第二访问开口,所述关闭位置阻止衬底传送通过所述第二访问开口;
烘烤平台,位于所述壳体内,所述烘烤平台包括烘烤板,所述烘烤板构造成对支撑在所述烘烤板的表面上的衬底进行加热;
冷却平台,位于所述壳体内,所述冷却平台包括冷却板,所述冷却板构造成对支撑在所述冷却板的表面上的衬底进行冷却;
梭平台,位于所述壳体内,所述梭平台适于允许衬底传送梭拾起通过第一访问开口传送进入所述热单元的衬底,其中,所述衬底传送梭构造成在将所述衬底从所述烘烤板传送到所述冷却板的同时积极对衬底进行冷却。
77.根据权利要求76所述的集成热单元,其中,所述壳体形成大致矩形的外壳,并且所述烘烤平台、所述冷却平台和所述梭沿着所述外壳的长度方向线性布置。
78.根据权利要求77所述的集成热单元,其中,所述梭平台位于所述烘烤平台和所述冷却平台之间。
79.根据权利要求77所述的集成热单元,其中,所述冷却平台位于所述烘烤平台和所述梭平台之间。
80.一种匀胶显影光刻工具,包括:
多个晶舟组件,适于接收一个或者多个晶盒;
一个或者多个机械手,适于将晶片从所述一个或者多个晶舟组件传送到所述匀胶显影光刻工具内的处理模组,其中,所述处理模组中至少一个包括集成热单元,所述集成热单元包括:
烘烤板,所述烘烤板具有衬底保持表面,并构造成在烘烤位置保持和加热衬底;
冷却板,所述冷却板具有衬底保持表面,并构造成在冷却位置保持和冷却衬底;和
其中,当所述烘烤板在所述烘烤位置时,所述烘烤板的所述衬底保持表面位于第一基本水平平面,当所述冷却板在所述冷却位置时,所述冷却板的所述衬底保持表面位于第二基本水平平面,所述第二基本水平平面在所述第一平面下方。
81.一种烘烤平台,构造成对衬底进行加热,所述烘烤平台包括:
烘烤板,适于对支撑在所述烘烤板的上表面上衬底进行加热,所述烘烤板在上烘烤位置和下冷却位置之间垂直移动;
多个散热器,当所述烘烤板在所述下冷却位置时,所述散热器可接合地连接到所述烘烤板的下表面。
82.根据权利要求81所述的烘烤平台,其中,所述烘烤板还包括外周面,所述外周面在所述上表面和所述下表面之间延伸,并且其中所述烘烤平台还包括具有第一表面和侧表面的下部杯子,所述第一表面在所述烘烤板的所述下表面的下方并与之相对,所述侧表面从所述下表面向上延伸以包围所述烘烤板的所述外周面,所述下部杯子具有形成于其中的多个孔,以允许所述多个散热器延伸通过所述下部杯子以接触所述烘烤板的所述下表曲。
83.根据权利要求82所述的烘烤平台,其中,所述下部杯子的所述侧表面在所述烘烤板的所述上表面上方延伸。
84.根据权利要求81所述的烘烤平台,还包括升降销,所述升降销适于使所述烘烤板在所述烘烤位置和所述冷却位置之间移动。
85.根据权利要求84所述的烘烤平台,还包括基板,所述基板具有多个开口,所述开口对应于所述多个散热器,其中所述烘烤板可相对于所述基板在所述烘烤位置和所述冷却位置之间移动。
86.根据权利要求85所述的烘烤平台,其中,所述基板中的所述多个开口对应于多个保持空腔,并且其中每个散热器包括基部,所述基部防止所述散热器被自始至终沿着所述烘烤板的方向推动通过所述烘烤板中的所述开口。
87.根据权利要求86所述的烘烤平台,其中,所述烘烤平台还包括多个弹簧,并且其中每个保持空腔包括朝着所述烘烤板的所述下表面偏置相应散热器的弹簧。
88.根据权利要求81所述的烘烤平台,其中,每个散热器包括柱体。
89.根据权利要求88所述的烘烤平台,其中,每个散热器的柱体的端部还包括基部,所述基部与所述烘烤板的所述下表面相对的端部相对。
90.根据权利要求81所述的烘烤平台,其中,所述烘烤板包括多个第一孔,所述多个第一孔在所述烘烤板的所述上表面和所述下表面之间延伸,并且其中所述烘烤平台还包括多个升降销,所述升降销对应于所述多个第一孔,所述升降销适于将晶片保持在所述烘烤板的上方。
91.根据权利要求90的烘烤平台,还包括升降机构,所述升降机构可操作连接成将所述烘烤板在所述上烘烤位置和所述下晶片接收位置之间升高和降低,其中,当所述烘烤板位于所述接收晶片的位置时,所述升降销延伸通过所述烘烤板的所述上表面,当所述烘烤板升高到所述烘烤位置时,所述升降销后退到所述烘烤板的所述上表面下方。
92.一种烘烤平台,构造成对衬底进行加热,所述烘烤平台包括:
上外壳,包括第一加热元件;
烘烤板,适于对支撑在所述烘烤板的上表面上的衬底进行加热,所述烘烤板可在上烘烤位置和下冷却位置之间垂直移动,其中,当所述烘烤板在所述烘烤位置时,所述烘烤板与所述上外壳中的加热元件紧密间隔;
多个金属散热器,当所述烘烤板在所述下冷却位置时,所述散热器可接合地连接到所述烘烤板的下表面。
93.根据权利要求92所述的烘烤平台,其中,所述上外壳具有顶部和侧部,所述侧部包围所述顶部,并且从所述顶部朝着所述烘烤板向下延伸。
94.根据权利要求92所述的烘烤平台,其中,所述第一加热元件在所述上外壳的所述顶部内,并且其中所述上外壳还包括在所述侧部内的第二加热元件。
95.一种快速降低烘烤板设定点温度的方法,所述方法包括:
在所述烘烤板在烘烤位置时使用所述烘烤板对设置在所述烘烤板的上表面上的衬底进行加热之后,将所述烘烤板垂直移动到下侧位置,在所述下侧位置中,所述烘烤板的下表面接触多个散热器,所述散热器适于可接合地连接到所述烘烤板的所述下表面。
CN2005800485660A 2004-12-22 2005-12-21 集成热单元 Active CN101443131B (zh)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US60/639,109 2004-12-22
US67401805P 2005-04-21 2005-04-21
US60/674,018 2005-04-21
US11/174,782 US7288746B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US11/174,681 US7274005B2 (en) 2004-12-22 2005-07-05 Bake plate having engageable thermal mass
US11/174,781 2005-07-05
US11/174,988 US7282675B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having a shuttle with a temperature controlled surface
US11/174,781 US7297906B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having a shuttle with two-axis movement
US11/174,681 2005-07-05
US11/174,782 2005-07-05
US11/174,988 2005-07-05
PCT/US2005/046637 WO2006069256A2 (en) 2004-12-22 2005-12-21 Integrated thermal unit

Publications (2)

Publication Number Publication Date
CN101443131A true CN101443131A (zh) 2009-05-27
CN101443131B CN101443131B (zh) 2011-08-17

Family

ID=39193608

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA200580048568XA Pending CN101142656A (zh) 2004-12-22 2005-12-21 用于处理衬底的组合工具架构
CN2005800485660A Active CN101443131B (zh) 2004-12-22 2005-12-21 集成热单元

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA200580048568XA Pending CN101142656A (zh) 2004-12-22 2005-12-21 用于处理衬底的组合工具架构

Country Status (3)

Country Link
US (18) US7396412B2 (zh)
JP (3) JP2012069957A (zh)
CN (2) CN101142656A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101897351A (zh) * 2009-05-31 2010-12-01 北京佩奇科技发展中心 堇青石烤炉
CN102292796A (zh) * 2009-01-23 2011-12-21 艾克塞利斯科技公司 防冷凝隔热卡盘
CN103137519A (zh) * 2011-11-28 2013-06-05 和舰科技(苏州)有限公司 冷热板装置及其控温方法
CN103426798A (zh) * 2012-05-25 2013-12-04 先进科技新加坡有限公司 用于在晶粒键合期间加热衬底的装置
CN104451888A (zh) * 2013-09-12 2015-03-25 应用材料公司 用于半导体晶片的退火模块
CN107644832A (zh) * 2016-07-20 2018-01-30 朗姆研究公司 用于系统维护期间储存和组织mca特征和晶片传送销的设计

Families Citing this family (547)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005027568A1 (en) * 2003-09-16 2005-03-24 Koninklijke Philips Electronics N.V. Audio frequency range adaptation
JP4271095B2 (ja) * 2004-07-15 2009-06-03 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP4426403B2 (ja) * 2004-08-31 2010-03-03 東京エレクトロン株式会社 レーザー処理装置
JP5154006B2 (ja) * 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US20070295276A1 (en) * 2004-12-22 2007-12-27 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
JP4955977B2 (ja) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060236941A1 (en) * 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
US7513822B2 (en) * 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US20150227136A1 (en) * 2005-06-18 2015-08-13 Fred Flitsch Methods and apparatus for vertically orienting substrate processing tools in a clean space
CA2616760C (en) 2005-07-26 2014-10-07 Rox Medical, Inc. Devices, systems, and methods for peripheral arteriovenous fistula creation
JP4767641B2 (ja) * 2005-09-27 2011-09-07 大日本スクリーン製造株式会社 基板処理装置および基板搬送方法
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
JP4629574B2 (ja) * 2005-12-27 2011-02-09 日本発條株式会社 基板支持装置と、その製造方法
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US20080050679A1 (en) * 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
US7824934B2 (en) * 2006-02-24 2010-11-02 Tokyo Electron Limited Substrate processing apparatus, parameter management system for substrate processing apparatus, parameter management method for substrate processing apparatus, program, and storage medium
WO2007105455A1 (ja) * 2006-02-28 2007-09-20 Ulvac, Inc. ステージ装置
US20090092467A1 (en) * 2006-03-06 2009-04-09 Yasuzou Tanaka Stage apparatus
JP4994074B2 (ja) * 2006-04-20 2012-08-08 東京エレクトロン株式会社 基板洗浄装置,基板洗浄方法,基板処理装置
US20070247165A1 (en) * 2006-04-25 2007-10-25 Applied Materials, Inc. Wafer backside particle detection for track tools
US7521915B2 (en) * 2006-04-25 2009-04-21 Sokudo Co., Ltd. Wafer bevel particle detection
US20070254493A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Integrated thermal unit having vertically arranged bake and chill plates
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
KR101412398B1 (ko) * 2006-07-19 2014-06-25 인터몰레큘러 인코퍼레이티드 분리 및 이산화된 프로세스 시퀀스 통합을 위한 방법 및 시스템
US7867904B2 (en) * 2006-07-19 2011-01-11 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
JP4801522B2 (ja) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
US7935948B2 (en) * 2006-08-11 2011-05-03 Sokudo Co., Ltd. Method and apparatus for monitoring and control of suck back level in a photoresist dispense system
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
JP2008072016A (ja) * 2006-09-15 2008-03-27 Tokyo Electron Ltd 液処理装置、液処理方法及び記憶媒体
JP5013400B2 (ja) * 2006-09-29 2012-08-29 国立大学法人東北大学 塗布膜コーティング装置
US7460972B2 (en) * 2006-10-19 2008-12-02 Sokudo Co., Ltd. Methods and systems for performing real-time wireless temperature measurement for semiconductor substrates
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080099181A1 (en) * 2006-10-31 2008-05-01 Sokudo Co., Ltd. Method to cool a bake plate using an actively chilled transfer shuttle
US20080145191A1 (en) * 2006-11-15 2008-06-19 Sokudo Co., Ltd. Actively chilled substrate transport module
JP5023679B2 (ja) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 塗布、現像装置及びその方法並びに記憶媒体
JP4777232B2 (ja) * 2006-12-27 2011-09-21 東京エレクトロン株式会社 基板の処理方法、基板の処理システム及びプログラムを記憶したコンピュータ読み取り可能な記憶媒体
US8740670B2 (en) 2006-12-28 2014-06-03 Saint-Gobain Ceramics & Plastics, Inc. Sapphire substrates and methods of making same
KR101291112B1 (ko) * 2006-12-28 2013-08-01 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 사파이어 기판 연마 방법
WO2008083081A2 (en) * 2006-12-28 2008-07-10 Saint-Gobain Ceramics & Plastics, Inc. Sapphire substrates and methods of making same
KR101203932B1 (ko) * 2006-12-28 2012-11-23 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 사파이어 기판 및 그 제조 방법
US20080155852A1 (en) * 2006-12-29 2008-07-03 Olgado Donald J K Multiple substrate vapor drying systems and methods
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
US7497026B2 (en) * 2007-01-11 2009-03-03 Sokudo Co., Ltd. Method and system for detection of wafer centering in a track lithography tool
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US20080224817A1 (en) * 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
US7789576B2 (en) * 2007-03-27 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. PEB embedded exposure apparatus
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US20080267257A1 (en) * 2007-04-27 2008-10-30 Sokudo Co., Ltd. Method and System for Detecting Substrate Temperature in a Track Lithography Tool
JP4877075B2 (ja) * 2007-05-29 2012-02-15 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像装置の運転方法並びに記憶媒体
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
US20090001071A1 (en) * 2007-06-28 2009-01-01 Sokudo Co., Ltd Method and System for Cooling a Bake Plate in a Track Lithography Tool
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP4464993B2 (ja) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 基板の処理システム
JP4979079B2 (ja) * 2007-07-09 2012-07-18 東京エレクトロン株式会社 基板処理装置
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US7934898B2 (en) * 2007-07-16 2011-05-03 Semitool, Inc. High throughput semiconductor wafer processing
US7567885B2 (en) * 2007-08-23 2009-07-28 Sokudo Co., Ltd. Method and system for determining object height
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
JP5151383B2 (ja) * 2007-10-12 2013-02-27 東京エレクトロン株式会社 塗布、現像装置、その方法及び記憶媒体
EP2207909B1 (en) * 2007-10-24 2012-08-29 OC Oerlikon Balzers AG Method for manufacturing workpieces and apparatus
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
KR101489963B1 (ko) 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
KR100892756B1 (ko) * 2007-12-27 2009-04-15 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 이송 방법
JP5179170B2 (ja) * 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) * 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP4547016B2 (ja) * 2008-04-04 2010-09-22 東京エレクトロン株式会社 半導体製造装置、半導体製造方法
US8077098B2 (en) * 2008-05-15 2011-12-13 The United States Of America As Represented By The Secretary Of The Navy Antenna test system
KR101202202B1 (ko) * 2008-06-05 2012-11-16 도쿄엘렉트론가부시키가이샤 액처리 장치 및 액처리 방법
US20090308860A1 (en) * 2008-06-11 2009-12-17 Applied Materials, Inc. Short thermal profile oven useful for screen printing
WO2010004636A1 (ja) * 2008-07-10 2010-01-14 川崎重工業株式会社 ロボット及びその教示方法
WO2010008929A1 (en) * 2008-07-15 2010-01-21 Ulvac, Inc. Work-piece transfer systems and methods
KR101226954B1 (ko) * 2008-08-06 2013-01-28 세메스 주식회사 기판 처리장치 및 이의 기판 이송 방법
JP5036664B2 (ja) * 2008-09-04 2012-09-26 東京エレクトロン株式会社 液処理におけるノズル洗浄、処理液乾燥防止方法及びその装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010123230A (ja) * 2008-11-21 2010-06-03 Sony Disc & Digital Solutions Inc 現像方法、及び現像装置
JP2010129929A (ja) * 2008-11-28 2010-06-10 Canon Inc 基板保持装置、基板保持方法、露光装置およびデバイス製造方法
US8127713B2 (en) * 2008-12-12 2012-03-06 Sokudo Co., Ltd. Multi-channel developer system
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
US8289496B2 (en) 2009-01-30 2012-10-16 Semes Co., Ltd. System and method for treating substrate
JP2010177673A (ja) * 2009-01-30 2010-08-12 Semes Co Ltd 基板処理設備及び基板処理方法
JP2010251705A (ja) * 2009-03-24 2010-11-04 Nuflare Technology Inc 成膜装置および成膜方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
EP2449004A1 (en) 2009-07-02 2012-05-09 E. I. du Pont de Nemours and Company Semiconductor manufacture component
US20110003140A1 (en) 2009-07-02 2011-01-06 E.I. Du Pont De Nemours And Company Oriented composite
TWI489580B (zh) * 2009-07-10 2015-06-21 Macronix Int Co Ltd 基底傳送方法及其裝置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110064545A1 (en) * 2009-09-16 2011-03-17 Applied Materials, Inc. Substrate transfer mechanism with preheating features
JP5445006B2 (ja) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TWI408766B (zh) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
US8542492B2 (en) * 2009-12-10 2013-09-24 Richard Anthony Dunn, JR. Scalable up and down nesting integrated electronic enclosures with form factors including asteroids and/or dumbbells and/or approximated tessellation(s)/tiling(s) or combinations thereof with thermal management, wiring, sliding fit, manual and/or automated full range vertical to horizontal positioning, access and structural systems for individual modules and intra-and inter-planar stacks, columns, rows, arrays and associated infrastructures
US20110140232A1 (en) * 2009-12-15 2011-06-16 Intersil Americas Inc. Methods of forming a thermal conduction region in a semiconductor structure and structures resulting therefrom
US20120055916A1 (en) * 2010-03-01 2012-03-08 Sokudo Co., Ltd. Method and system for thermal treatment of substrates
JP5318005B2 (ja) 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP5620574B2 (ja) 2010-06-07 2014-11-05 カスケード マイクロテックインコーポレイテッドCascade Microtech,Incorporated プローブステーション用高電圧チャック
TWM394568U (en) * 2010-07-23 2010-12-11 Chen Long Technology Corp Ltd Multiplexing wafer baking processing system
US9645162B2 (en) 2010-08-27 2017-05-09 Hewlett-Packard Development Company, L.P. Automated assay fluid dispensing
US9433939B2 (en) 2010-08-27 2016-09-06 Hewlett-Packard Development Company, L.P. Liquid dispensing assembly frame
JP5251941B2 (ja) 2010-09-01 2013-07-31 東京エレクトロン株式会社 液処理装置、液処理方法及び記憶媒体
US9370273B2 (en) 2010-12-02 2016-06-21 Pepsico, Inc. Hot and cold beverage dispenser
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
US8956098B2 (en) * 2011-05-02 2015-02-17 Murata Machinery, Ltd. Automated warehouse
US9508582B2 (en) 2011-06-03 2016-11-29 Tel Nexx, Inc. Parallel single substrate marangoni module
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8961693B2 (en) * 2011-06-08 2015-02-24 Shenzhen China Star Optoelectronics Technology Co., Ltd. Component supporting device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20230084597A (ko) 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 운송 장치 및 이를 포함하는 처리 장치
TWI523134B (zh) * 2011-09-22 2016-02-21 東京威力科創股份有限公司 基板處理系統、基板搬運方法、及電腦記憶媒體
CN202257027U (zh) * 2011-10-12 2012-05-30 深圳市华星光电技术有限公司 光刻胶涂布机承载系统及具有该系统的光刻胶涂布机
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
CN102645698B (zh) * 2012-01-09 2016-03-30 京东方科技集团股份有限公司 导光板网点、导光板制作方法及背光模组、显示装置
NL2010140A (en) * 2012-02-03 2013-08-06 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
JP5926086B2 (ja) * 2012-03-28 2016-05-25 株式会社Screenホールディングス 基板処理装置および基板処理方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9490150B2 (en) 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US8932945B2 (en) * 2012-07-09 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment system and method
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG11201503659QA (en) 2012-11-28 2015-06-29 Acm Res Shanghai Inc Method and apparatus for cleaning semiconductor wafer
JP5835195B2 (ja) * 2012-11-29 2015-12-24 東京エレクトロン株式会社 乾燥処理用の高圧容器の製造方法及び基板処理装置の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
KR102548468B1 (ko) * 2013-01-22 2023-06-27 브룩스 오토메이션 인코퍼레이티드 기판 이송기
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9446467B2 (en) * 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
KR101273615B1 (ko) 2013-04-30 2013-06-13 마이다스시스템주식회사 포토리소그래피 공정에 사용하는 반도체 제조용 미니랩
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6268425B2 (ja) * 2013-07-16 2018-01-31 シンフォニアテクノロジー株式会社 Efem、ロードポート、ウェーハ搬送方法
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6234736B2 (ja) * 2013-08-30 2017-11-22 芝浦メカトロニクス株式会社 スピン処理装置
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
JP6112485B2 (ja) * 2013-09-19 2017-04-12 国立研究開発法人産業技術総合研究所 単結晶ダイヤモンドの製造方法
KR20160064177A (ko) 2013-09-26 2016-06-07 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10074547B2 (en) * 2013-12-19 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist nozzle device and photoresist supply system
KR102424958B1 (ko) 2014-01-21 2022-07-25 퍼시몬 테크놀로지스 코포레이션 기판 이송 진공 플랫폼
JP6559706B2 (ja) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド 化学蒸着システム用の複合半径を有する保持ポケットを有するウェハキャリア
JP5850964B2 (ja) * 2014-02-19 2016-02-03 ファナック株式会社 ケーブルトラックを備えるロボット走行装置、ロボットシステム、および加工システム
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103949376B (zh) * 2014-04-17 2016-08-31 天津市盈硕科技发展有限公司 新能源电动自行车的太阳能电池板的点胶装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6211458B2 (ja) * 2014-04-30 2017-10-11 東京エレクトロン株式会社 基板液処理装置及び基板液処理方法
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI546376B (zh) * 2014-08-25 2016-08-21 柯伊珊 晶圓處理液及使用其移除並平坦晶圓邊緣塗佈薄膜及平坦化光阻表面的設備和方法
JP6296164B2 (ja) * 2014-09-08 2018-03-20 株式会社安川電機 ロボットシステムおよび搬送方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9403275B2 (en) * 2014-10-17 2016-08-02 GM Global Technology Operations LLC Dynamic obstacle avoidance in a robotic system
JP6754771B2 (ja) * 2014-11-18 2020-09-16 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. エンドエフェクタ位置推定を実行するロボット適応型配置システム
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016131190A1 (en) * 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102478317B1 (ko) * 2015-04-08 2022-12-16 도쿄엘렉트론가부시키가이샤 기판 처리 시스템
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
KR101695948B1 (ko) * 2015-06-26 2017-01-13 주식회사 테라세미콘 기판처리 시스템
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10256121B2 (en) 2015-07-06 2019-04-09 Tokyo Electron Limited Heated stage with variable thermal emissivity method and apparatus
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
KR20230145534A (ko) * 2015-07-13 2023-10-17 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN105278259A (zh) * 2015-07-27 2016-01-27 江苏影速光电技术有限公司 单机双台面多工位自动pcb板曝光设备及其曝光方法
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
JP6942117B2 (ja) * 2015-08-14 2021-09-29 エム キューブド テクノロジーズ, インコーポレイテッド チャック面から汚染を除去するための方法
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
TWI595963B (zh) * 2015-08-18 2017-08-21 Machvision Inc Automatic feeding device
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
DE102015011177B4 (de) * 2015-08-27 2017-09-14 Süss Microtec Photomask Equipment Gmbh & Co. Kg Vorrichtung zum Aufbringen eines mit UV-Strahlung beaufschlagten flüssigen Mediums auf ein Substrat
US10073444B2 (en) * 2015-09-20 2018-09-11 Macau University Of Science And Technology Petri net-based optimal one-wafer cyclic scheduling of treelike hybrid multi-cluster tools
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
WO2018022670A1 (en) * 2016-07-26 2018-02-01 M Cubed Technologies, Inc. Methods for masking a pin chuck, and articles made thereby
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10131053B1 (en) * 2016-09-14 2018-11-20 X Development Llc Real time robot collision avoidance
JP6870944B2 (ja) * 2016-09-26 2021-05-12 株式会社Screenホールディングス 基板処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108107680B (zh) * 2016-11-25 2020-10-30 沈阳芯源微电子设备股份有限公司 堆叠式涂胶显影系统
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10246087B2 (en) * 2016-12-15 2019-04-02 Caterpillar Inc. System and method for collision mitigation during machine articulation
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770887B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置および基板処理システム
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11201078B2 (en) * 2017-02-14 2021-12-14 Applied Materials, Inc. Substrate position calibration for substrate supports in substrate processing systems
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017104840A1 (de) 2017-03-08 2018-09-13 SW Automation GmbH Verfahrsystem
CN110546578A (zh) * 2017-03-15 2019-12-06 卡拉汉创新有限公司 使用光刻法和光致抗蚀剂制造制品的设备和方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
DE102017115833A1 (de) * 2017-07-13 2019-01-17 SW Automation GmbH Verfahren zum Betreiben einer Werkstück-Bearbeitungs-Anlage und Werkstück-Bearbeitungs-Anlage
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR101938104B1 (ko) * 2018-01-25 2019-01-14 주식회사 기가레인 접합 평탄도가 개선된 연성회로기판
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10589423B2 (en) * 2018-06-18 2020-03-17 Shambhu Nath Roy Robot vision super visor for hybrid homing, positioning and workspace UFO detection enabling industrial robot use for consumer applications
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11081358B2 (en) 2018-07-05 2021-08-03 Applied Materials, Inc. Silicide film nucleation
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11199466B2 (en) * 2018-08-31 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for liquid leak detection
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7253955B2 (ja) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102240925B1 (ko) * 2019-07-17 2021-04-15 세메스 주식회사 기판 처리 설비 및 기판 반송 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN112992637A (zh) * 2019-12-02 2021-06-18 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR20220129598A (ko) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
US11856833B2 (en) 2020-01-22 2023-12-26 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US20210320027A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Systems and methods for substrate support temperature control
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
BR112022026630A2 (pt) * 2020-06-26 2023-01-24 Armstrong World Ind Inc Sistema de umidificação de revestimento
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
WO2022031268A1 (en) 2020-08-04 2022-02-10 Applied Materials, Inc. Apparatus for removing photoresist off of photomask
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TWI749802B (zh) * 2020-10-08 2021-12-11 南亞科技股份有限公司 輸送裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
IT202000030872A1 (it) 2020-12-15 2022-06-15 Faspar S P A Gruppo di supporto e movimentazione per un dispositivo di presa per utensili
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR20220087623A (ko) * 2020-12-17 2022-06-27 삼성전자주식회사 기판 처리 장치
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220158515A (ko) * 2021-05-24 2022-12-01 에이디알씨 주식회사 스프레이 코터 및 이를 이용하여 제조된 박막 트랜지스터
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202339086A (zh) * 2021-11-19 2023-10-01 美商應用材料股份有限公司 在基板處理系統中用於基板支撐件的基板位置校準
US20230197463A1 (en) * 2021-12-21 2023-06-22 Canon Kabushiki Kaisha Apparatus including a substrate chuck, a dispenser, and a planarization head and methods of using the same
JP7326647B1 (ja) 2022-12-07 2023-08-15 株式会社荏原製作所 搬送装置および基板処理装置

Family Cites Families (689)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US491171A (en) * 1893-02-07 Retouching device
US1383093A (en) 1921-02-03 1921-06-28 Clifford L Finch Signal-operator for spreading rails
US1927677A (en) 1927-01-15 1933-09-19 Cleveland Crane Eng Material storage and handling system
BE494127A (zh) 1950-02-01
US3206041A (en) 1959-06-18 1965-09-14 Fmc Corp Article handling apparatus
US3193080A (en) 1963-04-08 1965-07-06 Speaker Sortation Systems Inc Multiple station feeding means
US3402835A (en) 1964-12-14 1968-09-24 Triax Co Control system for a zoned automatic warehouse arrangement
US3351219A (en) 1965-04-09 1967-11-07 Walter A Ruderfer Warehousing order selection system
US3279625A (en) 1965-04-30 1966-10-18 Taylor Wilson Mfg Company Apparatus for handling elongated articles
LU51022A1 (zh) 1966-05-03 1968-02-12
US3610159A (en) 1968-06-06 1971-10-05 Bendix Corp Automatic baggage-handling system
DE1798090C2 (de) 1968-08-21 1981-10-08 Tax, Hans, 8000 München Einrichtung zur Steuerung selbsttätig gelenkter Straßenfahrzeuge einer Container-Verladeanlage
US3750804A (en) 1969-03-07 1973-08-07 Triax Co Load handling mechanism and automatic storage system
US3876085A (en) 1970-03-05 1975-04-08 Thomas John Robert Bright Automated storage systems and apparatus therefor
US6076652A (en) 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3782564A (en) * 1971-09-27 1974-01-01 Conco Inc Product picking stacker crane system
US3796327A (en) * 1972-07-14 1974-03-12 R Meyer Manufacturing system
US4027246A (en) 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
JP3249765B2 (ja) 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US4197000A (en) 1978-05-23 1980-04-08 Fsi Corporation Positive developing method and apparatus
US4303433A (en) 1978-08-28 1981-12-01 Torobin Leonard B Centrifuge apparatus and method for producing hollow microspheres
US4319689A (en) 1979-09-13 1982-03-16 Frazier Industrial Company Storage rack
US4304433A (en) 1980-03-17 1981-12-08 Bj-Hughes Inc. Pipe gripping head
US4348044A (en) 1980-10-10 1982-09-07 Cincinnati Milacron Inc. Article gripping apparatus
US4410209A (en) 1982-03-11 1983-10-18 Trapani Silvio P Wafer-handling tool
US5125790A (en) 1982-05-24 1992-06-30 Proconics International, Inc. Wafer transfer apparatus
JP2855046B2 (ja) 1993-03-31 1999-02-10 大日本スクリーン製造株式会社 回転式基板処理装置用の基板回転保持装置
US4778532A (en) 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4984597B1 (en) * 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4634655A (en) * 1984-06-04 1987-01-06 Dainippon Screen Mfg. Co., Ltd. Method of forming corrosion resistant film on the surface of substrate composed of copper or copper alloy
US4609575A (en) 1984-07-02 1986-09-02 Fsi Corporation Method of apparatus for applying chemicals to substrates in an acid processing system
US4639028A (en) 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3685835T2 (de) 1985-04-17 1993-02-18 Hitachi Ltd Greiferwerkzeug.
JPS61178187U (zh) 1985-04-26 1986-11-06
US4895604A (en) 1985-07-15 1990-01-23 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for rinsing materials or articles
US4682614A (en) 1985-07-26 1987-07-28 Fsi Corporation Wafer processing machine
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
JPS6278826A (ja) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd 表面処理方法およびその装置
JPS6278828A (ja) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd 表面処理方法およびその装置
JPH0533006Y2 (zh) 1985-10-28 1993-08-23
JPS62129846A (ja) 1985-12-02 1987-06-12 Dainippon Screen Mfg Co Ltd フオトレジストの塗布方法及び塗布装置
JPH0621769B2 (ja) * 1985-12-13 1994-03-23 大日本スクリ−ン製造株式会社 パタ−ン欠陥検出方法およびその装置
JPS62247085A (ja) 1986-04-17 1987-10-28 Dainippon Screen Mfg Co Ltd フオトエッチング法による金属薄板の加工方法
US4724621A (en) 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
JPH0621346B2 (ja) 1986-06-11 1994-03-23 日本鉱業株式会社 高純度金属タンタル製ターゲットの製造方法
FR2600747B1 (fr) * 1986-06-30 1988-12-30 Inst Francais Du Petrole Tube flexible utilisable notamment pour le transport de fluides caloporteurs ou frigorifiques
JPS6314434A (ja) 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd 基板表面処理方法および装置
US4788994A (en) 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
US4728252A (en) 1986-08-22 1988-03-01 Lam Research Corporation Wafer transport mechanism
JPS6377569A (ja) 1986-09-19 1988-04-07 Dainippon Screen Mfg Co Ltd 基板の回転式表面処理装置
US4846623A (en) 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
JPS6398645A (ja) 1986-10-16 1988-04-30 Dainippon Screen Mfg Co Ltd 感光材料の位置決め保持装置
JPS63133545A (ja) 1986-11-25 1988-06-06 Dainippon Screen Mfg Co Ltd 熱処理装置の基板移載搬送装置
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
DE3876241D1 (de) 1987-03-31 1993-01-07 Siemens Ag Industrieroboter.
DE3712281A1 (de) 1987-04-10 1988-10-27 Heraeus Gmbh W C Verfahren zur herstellung von hochduktilem tantal-halbzeug
JPS63271931A (ja) 1987-04-28 1988-11-09 Tokyo Electron Ltd 現像装置
US4897015A (en) 1987-05-15 1990-01-30 Ade Corporation Rotary to linear motion robot arm
JPS63191348U (zh) 1987-05-27 1988-12-09
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411777A (en) 1987-07-07 1989-01-17 Mitsubishi Electric Corp Multi-joint robot
JPH0350509Y2 (zh) 1987-07-13 1991-10-29
JPS6419351A (en) * 1987-07-15 1989-01-23 Dainippon Screen Mfg Method for controlling dry part temperature of photosensitive material processor
US4984572A (en) * 1988-08-18 1991-01-15 Leonard Bloom Hemodynamically responsive system for and method of treating a malfunctioning heart
JPH0617295Y2 (ja) 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 基板受け渡し装置
JPH0623935B2 (ja) 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 再現性を高めた熱処理制御方法
KR970006206B1 (ko) 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 자동 도포 시스템
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
KR970011644B1 (ko) * 1988-04-08 1997-07-12 고다까 토시오 도포 처리 장치
US4900214A (en) 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
US5002008A (en) 1988-05-27 1991-03-26 Tokyo Electron Limited Coating apparatus and method for applying a liquid to a semiconductor wafer, including selecting a nozzle in a stand-by state
JP2846891B2 (ja) 1988-06-03 1999-01-13 東京エレクトロン株式会社 処理装置
JPH06103687B2 (ja) 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 回転式表面処理方法および回転式表面処理における処理終点検出方法、ならびに回転式表面処理装置
JPH069501Y2 (ja) 1988-09-27 1994-03-09 大日本スクリーン製造株式会社 基板の回転乾燥装置
JPH02137852A (ja) 1988-11-18 1990-05-28 Dainippon Screen Mfg Co Ltd フォトレジストの現像終点検出方法
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
USRE34428E (en) 1988-12-02 1993-11-02 John Fluke Mfg. Co., Inc. Analog-to-digital converter with offset voltage polarity inversion
US5177563A (en) 1989-02-01 1993-01-05 Texas A&M University System Method and apparatus for locating physical objects
US5070813A (en) 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
JP2507583B2 (ja) * 1989-03-01 1996-06-12 三菱電機株式会社 クリ―ンロボット
CA2010511A1 (en) 1989-03-01 1990-09-01 Roberto L. Ceriani Method of enhancing cancer therapy by administration of unsaturated fatty acids
US5174855A (en) 1989-04-28 1992-12-29 Dainippon Screen Mfg. Co. Ltd. Surface treating apparatus and method using vapor
JPH069195B2 (ja) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
US5127362A (en) 1989-05-22 1992-07-07 Tokyo Electron Limited Liquid coating device
JPH0628223Y2 (ja) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 回転塗布装置
US5151871A (en) 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5254367A (en) 1989-07-06 1993-10-19 Tokyo Electron Limited Coating method and apparatus
US5150452A (en) 1989-07-28 1992-09-22 Megamation Incorporated Method and apparatus for anti-collision and collision protection for multiple robot system
JPH03136232A (ja) 1989-08-31 1991-06-11 Dainippon Screen Mfg Co Ltd 基板の表面処理装置
JPH0734426Y2 (ja) 1989-11-27 1995-08-02 大日本スクリーン製造株式会社 感光材料検出装置
US5197846A (en) 1989-12-22 1993-03-30 Hitachi, Ltd. Six-degree-of-freedom articulated robot mechanism and assembling and working apparatus using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
CH680275A5 (zh) 1990-03-05 1992-07-31 Tet Techno Investment Trust
JP2808826B2 (ja) 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
JP2704309B2 (ja) 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
US5234499A (en) 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus
DE69113553T2 (de) 1990-07-23 1996-06-20 Dainippon Screen Mfg Schnittstellenvorrichtung zum Transportieren von Substraten zwischen Verarbeitungsgeräten.
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2843134B2 (ja) 1990-09-07 1999-01-06 東京エレクトロン株式会社 塗布装置および塗布方法
US5416047A (en) 1990-09-07 1995-05-16 Tokyo Electron Limited Method for applying process solution to substrates
JP2892476B2 (ja) 1990-09-14 1999-05-17 東京エレクトロン株式会社 帯状液体ノズル及び液処理装置及び液処理方法
US5201653A (en) 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
DK0482479T3 (da) 1990-10-23 1998-12-07 Dainippon Screen Mfg Fremgangsmåde og apparat til at behandle fotofølsomt materiale
JP2769645B2 (ja) 1990-11-27 1998-06-25 大日本スクリーン製造株式会社 感材処理装置
KR100230753B1 (ko) 1991-01-23 1999-11-15 도꾜 일렉트론 큐슈리미티드 액도포 시스템
JPH081922B2 (ja) 1991-01-25 1996-01-10 株式会社東芝 ウェハ−保持装置
JP2835890B2 (ja) * 1991-09-17 1998-12-14 東京エレクトロン株式会社 処理装置
USD341418S (en) 1991-02-22 1993-11-16 Tokyo Electron Limited Supply nozzle for applying liquid resist to a semiconductor wafer
JP3241058B2 (ja) 1991-03-28 2001-12-25 大日本スクリーン製造株式会社 回転式塗布装置及び回転式塗布方法
TW204411B (zh) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
JPH053151A (ja) 1991-06-18 1993-01-08 Hitachi Ltd レジスト除去装置
US5197856A (en) * 1991-06-24 1993-03-30 General Electric Company Compressor stator
JPH058194A (ja) * 1991-07-02 1993-01-19 Sony Corp 直交型ロボツトの集塵構造
US5580607A (en) 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
USD341428S (en) 1991-07-29 1993-11-16 Zeus Scientific, Inc. Multi channel pipette work station
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5513946A (en) * 1991-08-27 1996-05-07 Canon Kabushiki Kaisha Clean robot
JPH0553634A (ja) 1991-08-29 1993-03-05 Matsushita Electric Ind Co Ltd 複腕干渉回避システム
US5312487A (en) 1991-09-20 1994-05-17 Tokyo Electron Kabushiki Kaisha Coating apparatus
JPH0590238A (ja) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
JP3209426B2 (ja) 1991-10-04 2001-09-17 シーエフエムティ インコーポレイテッド 複雑な形状を有するマイクロパーツの洗浄
JP2639771B2 (ja) 1991-11-14 1997-08-13 大日本スクリーン製造株式会社 基板の洗浄・乾燥処理方法並びにその処理装置
JP2622046B2 (ja) 1991-11-26 1997-06-18 大日本スクリーン製造株式会社 基板搬送装置
US5275658A (en) 1991-12-13 1994-01-04 Tokyo Electron Limited Liquid supply apparatus
JP2760918B2 (ja) 1992-02-03 1998-06-04 大日本スクリーン製造株式会社 ノッチ付ウエハの位置検出装置
JP2972970B2 (ja) 1992-04-24 1999-11-08 東京エレクトロン株式会社 処理装置
US5788865A (en) 1992-10-14 1998-08-04 Herbert F. Boeckman, II Process for separating a hydrophobic liquid from a liquid contaminated therewith
JP2906006B2 (ja) 1992-10-15 1999-06-14 東京エレクトロン株式会社 処理方法及びその装置
EP0597637B1 (en) * 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
JPH06177012A (ja) * 1992-12-03 1994-06-24 Nikon Corp アライメント装置
KR970011065B1 (ko) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP2870719B2 (ja) 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
JPH06244095A (ja) 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
US5485644A (en) * 1993-03-18 1996-01-23 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
SG130022A1 (en) 1993-03-25 2007-03-20 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
JP2907676B2 (ja) 1993-03-30 1999-06-21 大日本スクリーン製造株式会社 回転式基板処理装置の処理液供給装置
JP3347814B2 (ja) 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 基板の洗浄・乾燥処理方法並びにその処理装置
TW268905B (zh) 1993-05-20 1996-01-21 Tokyo Electron Co Ltd
JP2890087B2 (ja) 1993-06-10 1999-05-10 東京エレクトロン株式会社 処理装置
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
US5443348A (en) 1993-07-16 1995-08-22 Semiconductor Systems, Inc. Cassette input/output unit for semiconductor processing system
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
EP0634783B1 (en) 1993-07-16 1997-08-06 Semiconductor Systems, Inc. Thermal process module for substrate coat/develop system
DE634699T1 (de) * 1993-07-16 1996-02-15 Semiconductor Systems Inc Gruppiertes fotolithografisches System.
US5427820A (en) 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
JP3142195B2 (ja) 1993-07-20 2001-03-07 大日本スクリーン製造株式会社 薬液供給装置
JP2674474B2 (ja) 1993-07-29 1997-11-12 日本電気株式会社 歪量子井戸半導体レーザの気相成長方法
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5342068A (en) 1993-08-26 1994-08-30 Texas Instruments Incorporated Laminar flow vacuum chuck
US5418382A (en) 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
JPH0727150U (ja) * 1993-10-07 1995-05-19 大日本スクリーン製造株式会社 シリカ系被膜形成用塗布液吐出装置
JPH07115058A (ja) 1993-10-18 1995-05-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
JP2845738B2 (ja) * 1993-10-28 1999-01-13 大日本スクリーン製造株式会社 回転式基板処理装置の基板回転保持具
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
JPH07230173A (ja) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd 現像方法及びその装置
JPH07245285A (ja) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd 基板処理装置
US5626913A (en) 1994-03-09 1997-05-06 Tokyo Electron Limited Resist processing method and apparatus
US5634377A (en) 1994-03-09 1997-06-03 Sony Corporation Articulated robot
US5431700A (en) 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
KR100198477B1 (ko) * 1994-04-08 1999-06-15 이시다 아키라 기판처리장치 및 방법
JP2994553B2 (ja) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 基板処理装置
JPH07297258A (ja) 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3073886B2 (ja) * 1994-05-30 2000-08-07 大日本スクリーン製造株式会社 基板の熱処理装置
US6124211A (en) 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JP3196917B2 (ja) 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 基板処理装置
US5715173A (en) * 1994-06-27 1998-02-03 Dainippon Screen Mfg. Co., Ltd. Concentration controlling method and a substate treating apparatus utilizing same
US5688324A (en) 1994-07-15 1997-11-18 Dainippon Screen Mfg. Co., Ltd. Apparatus for coating substrate
FR2723005B1 (fr) 1994-08-01 1996-09-13 Kodak Pathe Dispositif de distribution de liquide par gravite et dispositif d'enduction photographique
JP3116297B2 (ja) * 1994-08-03 2000-12-11 東京エレクトロン株式会社 処理方法及び処理装置
US5695817A (en) 1994-08-08 1997-12-09 Tokyo Electron Limited Method of forming a coating film
US5689749A (en) 1994-08-31 1997-11-18 Tokyo Electron Limited Apparatus for developing a resist-coated substrate
JP3033009B2 (ja) 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
TW294821B (zh) 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3099054B2 (ja) * 1994-09-09 2000-10-16 東京エレクトロン株式会社 塗布装置及びその方法
JP3122868B2 (ja) 1994-09-29 2001-01-09 東京エレクトロン株式会社 塗布装置
US5625433A (en) * 1994-09-29 1997-04-29 Tokyo Electron Limited Apparatus and method for developing resist coated on a substrate
US5620560A (en) * 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
JP3052116B2 (ja) 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置
KR100370728B1 (ko) 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. 기판을균일하게코팅하는방법및장치
US5835684A (en) 1994-11-09 1998-11-10 Amada Company, Ltd. Method for planning/controlling robot motion
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08146613A (ja) 1994-11-18 1996-06-07 Dainippon Screen Mfg Co Ltd 長尺素材の処理装置
US5638687A (en) 1994-11-21 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Substrate cooling method and apparatus
US6033475A (en) * 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
US5849602A (en) 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US5543022A (en) 1995-01-17 1996-08-06 Hmt Technology Corporation Disc-handling apparatus
JP3276553B2 (ja) * 1995-01-19 2002-04-22 東京エレクトロン株式会社 処理装置及び処理方法
US5618348A (en) * 1995-01-27 1997-04-08 Dainippon Screen Mfg. Co., Ltd. Air elimination system
JPH08222616A (ja) 1995-02-13 1996-08-30 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3350278B2 (ja) 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 基板処理装置
TW306011B (zh) * 1995-04-19 1997-05-21 Tokyo Electron Co Ltd
JPH08293534A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 被処理体の搬送装置
JP3401121B2 (ja) 1995-04-21 2003-04-28 大日本スクリーン製造株式会社 基板への回転式塗布装置
US5853483A (en) 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
JP3028462B2 (ja) 1995-05-12 2000-04-04 東京エレクトロン株式会社 熱処理装置
JPH08316190A (ja) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd 基板処理装置
TW406216B (en) 1995-05-24 2000-09-21 Tokyo Electron Ltd Apparatus for coating resist on substrate
TW284907B (en) 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
US5843527A (en) 1995-06-15 1998-12-01 Dainippon Screen Mfg. Co., Ltd. Coating solution applying method and apparatus
KR100226326B1 (ko) 1995-06-19 1999-10-15 이시다 아키라 기판용 자외선 조사장치 및 기판처리시스템 및 기판을 자외선으로 조사하는 방법
US5741113A (en) 1995-07-10 1998-04-21 Kensington Laboratories, Inc. Continuously rotatable multiple link robot arm mechanism
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6098484A (en) 1995-07-10 2000-08-08 Kensington Laboratories, Inc. High torque, low hysteresis, multiple link robot arm mechanism
JPH0945611A (ja) 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd 回転式基板塗布装置
JP3069945B2 (ja) 1995-07-28 2000-07-24 東京エレクトロン株式会社 処理装置
JP2676334B2 (ja) 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム
JP3518948B2 (ja) 1995-08-24 2004-04-12 大日本スクリーン製造株式会社 基板の回転処理装置
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
JPH0990643A (ja) 1995-09-27 1997-04-04 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3552178B2 (ja) 1995-09-27 2004-08-11 大日本スクリーン製造株式会社 基板収納カセット、インターフェイス機構および基板処理装置
JPH09107013A (ja) * 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd 基板受け渡し装置
FR2739562B1 (fr) 1995-10-09 1998-04-24 Moreau Defarges Alain Dispositif d'injection par jet sans aiguille, comportant une cartouche surmoulee
JPH09106934A (ja) 1995-10-12 1997-04-22 Dainippon Screen Mfg Co Ltd 基板現像装置
JP3227642B2 (ja) 1995-10-13 2001-11-12 東京エレクトロン株式会社 塗布装置
US5766524A (en) 1995-10-16 1998-06-16 Governors Of The University Of Alberta Reclamation of leftover concrete
US5788773A (en) 1995-10-25 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
US5898588A (en) * 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
KR100315007B1 (ko) 1995-11-22 2002-02-28 이시다 아키라 카세트내의 기판 검출 및 반송장치와 그 방법
JP3380663B2 (ja) 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 基板処理装置
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5762684A (en) 1995-11-30 1998-06-09 Dainippon Screen Mfg. Co., Ltd. Treating liquid supplying method and apparatus
TW334359B (en) * 1995-12-04 1998-06-21 Dai Nippon Scolin Seizo Kk Apparatus and method for treating substrates
JPH09162118A (ja) 1995-12-11 1997-06-20 Dainippon Screen Mfg Co Ltd 基板用処理液の脱気装置
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3462325B2 (ja) * 1995-12-21 2003-11-05 大日本スクリーン製造株式会社 基板処理装置
US5665220A (en) 1995-12-26 1997-09-09 General Motors Corporation Electrolytic magnesium production process
US5704493A (en) * 1995-12-27 1998-01-06 Dainippon Screen Mfg. Co., Ltd. Substrate holder
JP3575717B2 (ja) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 基板処理装置
JPH09213772A (ja) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd 基板保持装置
TW324834B (en) 1996-02-01 1998-01-11 Tokyo Electron Co Ltd Method for forming membrane
US6075606A (en) * 1996-02-16 2000-06-13 Doan; Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
JP3377909B2 (ja) 1996-02-28 2003-02-17 大日本スクリーン製造株式会社 基板処理装置
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP3462657B2 (ja) 1996-02-29 2003-11-05 大日本スクリーン製造株式会社 薄膜形成装置および薄膜形成方法
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JP3476305B2 (ja) 1996-03-18 2003-12-10 大日本スクリーン製造株式会社 回転式基板処理装置
JP3218425B2 (ja) * 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
DE19613620C2 (de) 1996-04-04 1998-04-16 Steag Micro Tech Gmbh Verfahren und Vorrichtung zum Trocknen von Substraten
TW344097B (en) 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
KR100274127B1 (ko) 1996-04-23 2001-01-15 이시다 아키라 기판 온도 제어방법, 기판 열처리장치 및 기판 지지장치
DE19654903C2 (de) 1996-04-24 1998-09-24 Steag Micro Tech Gmbh Vorrichtung zum Behandeln von Substraten in einem Fluid-Behälter
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5844476A (en) 1996-04-25 1998-12-01 Chen; Shou-Shan Automobile deceleration indicating device
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3280883B2 (ja) 1996-05-08 2002-05-13 東京エレクトロン株式会社 現像処理方法及び現像処理装置
US6248398B1 (en) 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
JP3516195B2 (ja) 1996-05-28 2004-04-05 東京エレクトロン株式会社 塗布膜形成方法及びその装置
US5788453A (en) 1996-05-30 1998-08-04 Applied Materials, Inc. Piezoelectric wafer gripping system for robot blades
US6181336B1 (en) * 1996-05-31 2001-01-30 Silicon Graphics, Inc. Database-independent, scalable, object-oriented architecture and API for managing digital multimedia assets
JP3597639B2 (ja) * 1996-06-05 2004-12-08 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JP3343033B2 (ja) * 1996-06-28 2002-11-11 大日本スクリーン製造株式会社 基板処理装置
JPH1022358A (ja) 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd 基板処理装置
US6672820B1 (en) 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
EP0828189B1 (en) * 1996-08-14 2004-11-10 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
EP0824224B1 (en) 1996-08-14 2002-03-06 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
JP3227595B2 (ja) 1996-08-20 2001-11-12 東京エレクトロン株式会社 現像処理方法及び現像処理装置
JP3442934B2 (ja) * 1996-08-20 2003-09-02 大日本スクリーン製造株式会社 基板処理装置
JP3245812B2 (ja) 1996-08-30 2002-01-15 東京エレクトロン株式会社 液処理方法及びその装置
JP3254574B2 (ja) 1996-08-30 2002-02-12 東京エレクトロン株式会社 塗布膜形成方法及びその装置
JP3278714B2 (ja) * 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
JP3245769B2 (ja) 1996-08-30 2002-01-15 東京エレクトロン株式会社 液処理方法及びその装置
JPH1074818A (ja) 1996-09-02 1998-03-17 Tokyo Electron Ltd 処理装置
US5938847A (en) 1996-09-03 1999-08-17 Tokyo Electron Limited Method and apparatus for coating a film on an object being processed
JP3779393B2 (ja) 1996-09-06 2006-05-24 東京エレクトロン株式会社 処理システム
TW535216B (en) * 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6053058A (en) * 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
KR100277522B1 (ko) 1996-10-08 2001-01-15 이시다 아키라 기판처리장치
CH697146A5 (de) 1996-10-09 2008-05-15 Tec Sem Ag Greifvorrichtung zur Handhabung von Wafern.
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP3420900B2 (ja) 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 塗布液塗布方法
JP3540524B2 (ja) 1996-10-28 2004-07-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US5756444A (en) 1996-11-01 1998-05-26 The Procter & Gamble Company Granular laundry detergent compositions which are substantially free of phosphate and aluminosilicate builders
JP3471543B2 (ja) 1996-11-07 2003-12-02 大日本スクリーン製造株式会社 回転式基板乾燥装置
JPH10144757A (ja) 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd 基板処理システム
JPH10144599A (ja) 1996-11-11 1998-05-29 Tokyo Electron Ltd 回転処理装置およびその洗浄方法
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JP3315608B2 (ja) 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 塗布液塗布方法
US6258167B1 (en) 1996-11-27 2001-07-10 Tokyo Electron Limited Process liquid film forming apparatus
JP3245813B2 (ja) 1996-11-27 2002-01-15 東京エレクトロン株式会社 塗布膜形成装置
NL1004657C2 (nl) * 1996-11-29 1998-06-03 Food Processing Systems Inrichting voor het overdragen van in hoofdzaak ronde, kwetsbare voorwerpen, zoals bijvoorbeeld eieren.
JP3566475B2 (ja) 1996-12-03 2004-09-15 東京エレクトロン株式会社 処理装置
TW382749B (en) * 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JP3333121B2 (ja) 1996-12-25 2002-10-07 東京エレクトロン株式会社 塗布装置
KR100283442B1 (ko) 1996-12-26 2001-04-02 이시다 아키라 현상장치및현상방법
JP3490582B2 (ja) * 1997-01-28 2004-01-26 大日本スクリーン製造株式会社 基板処理装置
JP3429964B2 (ja) * 1996-12-26 2003-07-28 大日本スクリーン製造株式会社 基板処理装置
US6099643A (en) 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
TW357389B (en) 1996-12-27 1999-05-01 Tokyo Electric Ltd Apparatus and method for supplying process solution to surface of substrate to be processed
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10209102A (ja) 1997-01-17 1998-08-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3579228B2 (ja) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
JP3578577B2 (ja) 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 処理液供給方法及びその装置
TW389949B (en) * 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
US6217655B1 (en) * 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
JP3559133B2 (ja) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
JP3410342B2 (ja) 1997-01-31 2003-05-26 東京エレクトロン株式会社 塗布装置
JP3280880B2 (ja) 1997-02-07 2002-05-13 東京エレクトロン株式会社 脱気機構およびそれを用いた処理装置
JP3346716B2 (ja) 1997-02-14 2002-11-18 東京エレクトロン株式会社 基板冷却方法および基板冷却装置
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
JP3321540B2 (ja) 1997-02-14 2002-09-03 東京エレクトロン株式会社 脱気機構およびそれを用いた処理装置、ならびに脱気方法
EP0863538B1 (en) 1997-03-03 2003-05-21 Tokyo Electron Limited Coating apparatus and coating method
TW383414B (en) 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
WO2004075285A1 (ja) 1997-03-07 2004-09-02 Takuya Shibao 基板処理装置
JP3442253B2 (ja) 1997-03-13 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3526184B2 (ja) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
JP3693783B2 (ja) * 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 基板処理装置
JP3548373B2 (ja) 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 基板処理装置
US5944476A (en) 1997-03-26 1999-08-31 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism
TW432520B (en) 1997-03-31 2001-05-01 Tokyo Electron Ltd Photoresist coating method and apparatus
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
JP3715073B2 (ja) 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 熱処理装置
TW419716B (en) 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
JP3612196B2 (ja) 1997-04-28 2005-01-19 大日本スクリーン製造株式会社 現像装置、現像方法および基板処理装置
US6207231B1 (en) * 1997-05-07 2001-03-27 Tokyo Electron Limited Coating film forming method and coating apparatus
KR100265757B1 (ko) 1997-05-09 2000-09-15 윤종용 반도체 제조장비의 웨이퍼 오탑재 방지센서
JP3917237B2 (ja) 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
JPH10335220A (ja) * 1997-05-30 1998-12-18 Tokyo Electron Ltd 処理装置
US6168667B1 (en) 1997-05-30 2001-01-02 Tokyo Electron Limited Resist-processing apparatus
JP3737604B2 (ja) 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 基板処理装置
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
JPH113851A (ja) 1997-06-11 1999-01-06 Tokyo Electron Ltd 液処理装置及び液処理方法
US6073187A (en) 1997-06-20 2000-06-06 Compaq Computer Corporation Controls and indicators available to a user for a secondary operational mode of a portable computer which is open or closed state of the computer case
SG71809A1 (en) 1997-07-03 2000-04-18 Tokyo Electron Ltd Solution treatment apparatus
JPH1126550A (ja) * 1997-07-04 1999-01-29 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
TW384505B (en) * 1997-07-04 2000-03-11 Tokyo Electron Ltd Coating device
SG135904A1 (en) 1997-07-04 2007-10-29 Tokyo Electron Ltd Process solution supplying apparatus
US5988971A (en) 1997-07-09 1999-11-23 Ade Optical Systems Corporation Wafer transfer robot
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5869311A (en) 1997-07-17 1999-02-09 Incyte Pharmaceuticals, Inc. Mitochondrial processing peptidase subunit
JPH1133471A (ja) 1997-07-23 1999-02-09 Tokyo Electron Ltd 塗布装置
US6076979A (en) 1997-07-25 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Method of and apparatus for supplying developing solution onto substrate
JP3265238B2 (ja) * 1997-08-01 2002-03-11 東京エレクトロン株式会社 液膜形成装置及びその方法
US6159291A (en) 1997-08-11 2000-12-12 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
TW442336B (en) 1997-08-19 2001-06-23 Tokyo Electron Ltd Film forming method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
JPH11129184A (ja) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6354311B1 (en) * 1997-09-10 2002-03-12 Dainippon Screen Mfg. Co., Ltd. Substrate drying apparatus and substrate processing apparatus
JP3788855B2 (ja) 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 基板処理ユニットおよびそれを用いた基板処理装置
US6155773A (en) 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US6571147B1 (en) 1997-09-22 2003-05-27 Dainippon Screen Mfg. Co., Ltd. System for and method of managing jobs
US6000227A (en) * 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JP3988805B2 (ja) 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 基板搬送方法及びその装置
US6174371B1 (en) * 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3641115B2 (ja) 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 基板処理装置
US6260562B1 (en) 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
US6199568B1 (en) * 1997-10-20 2001-03-13 Dainippon Screen Mfg. Co., Ltd. Treating tank, and substrate treating apparatus having the treating tank
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6491491B1 (en) 1997-10-30 2002-12-10 Sankyo Seiki Mfg. Co., Ltd. Articulated robot
TW418452B (en) 1997-10-31 2001-01-11 Tokyo Electron Ltd Coating process
TW392226B (en) * 1997-11-05 2000-06-01 Tokyo Electron Ltd Apparatus for processing substrate
FI980342A0 (fi) * 1997-11-07 1998-02-13 Borealis As Polymerroer och -roerkopplingar
US6352083B1 (en) * 1997-11-20 2002-03-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
EP1049640A4 (en) 1997-11-28 2008-03-12 Mattson Tech Inc SYSTEMS AND METHODS FOR HANDLING WORKPIECES FOR VACUUM PROCESSING AT HIGH FLOW RATE AND LOW CONTAMINATION
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP3320648B2 (ja) 1997-12-04 2002-09-03 東京エレクトロン株式会社 レジスト膜の形成方法及びレジスト膜の形成装置
US6177133B1 (en) * 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
JP4178534B2 (ja) 1997-12-24 2008-11-12 株式会社安川電機 基板搬送用ロボット
US6190063B1 (en) * 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3329720B2 (ja) 1998-01-19 2002-09-30 東京エレクトロン株式会社 塗布装置
JP3323797B2 (ja) 1998-01-21 2002-09-09 東京エレクトロン株式会社 疎水化処理装置
US5923515A (en) 1998-01-27 1999-07-13 Lucent Technologies Inc. Battery protection fuse assembly
JP3246891B2 (ja) 1998-02-03 2002-01-15 東京エレクトロン株式会社 熱処理装置
JP3356676B2 (ja) 1998-02-04 2002-12-16 東京エレクトロン株式会社 現像処理方法及びその装置
US6291800B1 (en) * 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6132165A (en) 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6062240A (en) 1998-03-06 2000-05-16 Tokyo Electron Limited Treatment device
JPH11260707A (ja) 1998-03-09 1999-09-24 Tokyo Electron Ltd 現像処理方法及び現像処理装置
US6359264B1 (en) * 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6368776B1 (en) * 1998-03-18 2002-04-09 Tokyo Electron Limited Treatment apparatus and treatment method
JPH11274024A (ja) * 1998-03-18 1999-10-08 Tokyo Electron Ltd 処理液供給装置及び処理液供給方法
US6261365B1 (en) 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6221787B1 (en) * 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
US6336204B1 (en) * 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
TW410415B (en) 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
JP3381776B2 (ja) * 1998-05-19 2003-03-04 東京エレクトロン株式会社 処理装置および処理方法
US6635113B2 (en) 1998-05-19 2003-10-21 Tokyo Electron Limited Coating apparatus and coating method
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JPH11340119A (ja) 1998-05-26 1999-12-10 Tokyo Electron Ltd 現像処理方法及び現像処理装置
US5989763A (en) * 1998-05-28 1999-11-23 National Semicondustor Corporation Chemical gas analysis during processing of chemically amplified photoresist systems
US6109677A (en) 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
JP3364155B2 (ja) 1998-06-05 2003-01-08 東京エレクトロン株式会社 塗布膜形成装置及びその方法
US6183147B1 (en) * 1998-06-15 2001-02-06 Tokyo Electron Limited Process solution supply system, substrate processing apparatus employing the system, and intermediate storage mechanism employed in the system
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6416583B1 (en) 1998-06-19 2002-07-09 Tokyo Electron Limited Film forming apparatus and film forming method
JP3445937B2 (ja) 1998-06-24 2003-09-16 東京エレクトロン株式会社 多段スピン型基板処理システム
JP3333135B2 (ja) 1998-06-25 2002-10-07 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP3461725B2 (ja) * 1998-06-26 2003-10-27 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
US6318957B1 (en) 1998-07-10 2001-11-20 Asm America, Inc. Method for handling of wafers with minimal contact
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6246030B1 (en) 1998-07-22 2001-06-12 Tokyo Electron Limited Heat processing method and apparatus
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
US6361600B1 (en) * 1998-08-04 2002-03-26 Tokyo Electron Limited Film forming apparatus and film forming method
JP2000056474A (ja) 1998-08-05 2000-02-25 Tokyo Electron Ltd 基板処理方法
KR100535714B1 (ko) 1998-08-10 2005-12-09 동경 엘렉트론 주식회사 기판처리장치
KR100537040B1 (ko) 1998-08-19 2005-12-16 동경 엘렉트론 주식회사 현상장치
JP3574570B2 (ja) * 1998-08-20 2004-10-06 東京応化工業株式会社 処理ユニット
JP3453069B2 (ja) 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
WO2000014772A1 (de) 1998-09-02 2000-03-16 Tec-Sem Ag Vorrichtung und verfahren zum handhaben von einzelnen wafern
US6402844B1 (en) 1998-09-08 2002-06-11 Tokyo Electron Limited Substrate processing method and substrate processing unit
US6185370B1 (en) * 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6780461B2 (en) 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
US6203969B1 (en) * 1998-09-14 2001-03-20 Tokyo Electron Limited Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein
US6689215B2 (en) * 1998-09-17 2004-02-10 Asml Holdings, N.V. Method and apparatus for mitigating cross-contamination between liquid dispensing jets in close proximity to a surface
JP3442669B2 (ja) 1998-10-20 2003-09-02 東京エレクトロン株式会社 基板処理装置
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
JP2000141270A (ja) 1998-11-06 2000-05-23 Matsushita Electric Ind Co Ltd 多関節型ロボット
JP3458063B2 (ja) 1998-11-20 2003-10-20 東京エレクトロン株式会社 塗布装置及び塗布方法
US6302960B1 (en) 1998-11-23 2001-10-16 Applied Materials, Inc. Photoresist coater
US6348113B1 (en) 1998-11-25 2002-02-19 Cabot Corporation High purity tantalum, products containing the same, and methods of making the same
US6329692B1 (en) 1998-11-30 2001-12-11 Motorola Inc. Circuit and method for reducing parasitic bipolar effects during eletrostatic discharges
JP3800282B2 (ja) 1998-11-30 2006-07-26 大日本スクリーン製造株式会社 塗布液塗布方法
US6453214B1 (en) 1998-12-02 2002-09-17 Newport Corporation Method of using a specimen sensing end effector to align a robot arm with a specimen stored on or in a container
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US6454332B1 (en) 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
JP3041613B1 (ja) 1998-12-21 2000-05-15 セイコーインスツルメンツ株式会社 ラインプリンタ装置
US6533531B1 (en) 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
US6228171B1 (en) 1999-01-29 2001-05-08 Tokyo Electron Ltd. Heat processing apparatus
JP3455458B2 (ja) 1999-02-01 2003-10-14 東京エレクトロン株式会社 塗布、現像装置及び塗布現像処理における基板再生システム
US6410194B1 (en) 1999-02-04 2002-06-25 Tokyo Electron Limited Resist film forming method and resist coating apparatus
US6427096B1 (en) 1999-02-12 2002-07-30 Honeywell International Inc. Processing tool interface apparatus for use in manufacturing environment
KR100593627B1 (ko) 1999-02-16 2006-06-28 동경 엘렉트론 주식회사 처리장치, 처리시스템, 판별방법 및 검출방법
US6169274B1 (en) * 1999-03-01 2001-01-02 Tokyo Electron Ltd. Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result
JP2000260858A (ja) 1999-03-12 2000-09-22 Sumitomo Heavy Ind Ltd ウェハ搬送用ハンド、及び、これを用いたウェハ搬送方法
US6398429B1 (en) 1999-03-15 2002-06-04 Tokyo Electron Limited Developing method and developing apparatus
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP3393082B2 (ja) 1999-04-02 2003-04-07 東京エレクトロン株式会社 現像方法および現像装置
KR100585448B1 (ko) * 1999-04-08 2006-06-02 동경 엘렉트론 주식회사 막 형성방법 및 막 형성장치
KR100604024B1 (ko) 1999-04-19 2006-07-24 동경 엘렉트론 주식회사 도포막 형성방법 및 도포장치
US6575177B1 (en) 1999-04-27 2003-06-10 Applied Materials Inc. Semiconductor substrate cleaning system
JP4021118B2 (ja) 1999-04-28 2007-12-12 東京エレクトロン株式会社 基板処理装置
JP3587723B2 (ja) 1999-04-30 2004-11-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6499777B1 (en) * 1999-05-11 2002-12-31 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
US6191394B1 (en) * 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP3616275B2 (ja) 1999-05-31 2005-02-02 東京エレクトロン株式会社 液処理装置、それに用いる処理液供給ノズル、および液処理方法
TW505822B (en) 1999-06-09 2002-10-11 Tokyo Electron Ltd Developing method and developing apparatus
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6464789B1 (en) 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
JP3957445B2 (ja) * 1999-07-02 2007-08-15 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6238109B1 (en) 1999-07-02 2001-05-29 Tokyo Electron Limited Processing solution supply apparatus
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100704749B1 (ko) 1999-07-19 2007-04-09 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
KR100629746B1 (ko) 1999-07-28 2006-09-28 동경 엘렉트론 주식회사 현상장치 및 그 방법
KR100597287B1 (ko) 1999-07-28 2006-07-04 동경 엘렉트론 주식회사 기판처리장치 및 그 방법
US6716478B2 (en) 1999-08-04 2004-04-06 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6383948B1 (en) 1999-12-20 2002-05-07 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6450805B1 (en) 1999-08-11 2002-09-17 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6474986B2 (en) 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6312171B1 (en) 1999-08-12 2001-11-06 Tokyo Electron Limited Developing apparatus and method thereof
TW480584B (en) 1999-08-17 2002-03-21 Tokyo Electron Ltd Solution processing apparatus and method
US6313441B1 (en) 1999-08-18 2001-11-06 Applied Materials, Inc. Control system and method for providing variable ramp rate operation of a thermal cycling system
JP2001060542A (ja) 1999-08-19 2001-03-06 Tokyo Electron Ltd レジストパタ−ンの形成方法
US6196532B1 (en) 1999-08-27 2001-03-06 Applied Materials, Inc. 3 point vacuum chuck with non-resilient support members
US6536964B1 (en) 1999-09-03 2003-03-25 Tokyo Electron Limited Substrate processing system and substrate processing method
KR100700764B1 (ko) 1999-09-03 2007-03-27 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
TW476983B (en) 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6514343B1 (en) * 1999-10-01 2003-02-04 Tokyo Electron Limited Coating apparatus
JP3635217B2 (ja) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6402400B1 (en) 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
JP2001110793A (ja) 1999-10-12 2001-04-20 Dainippon Screen Mfg Co Ltd 熱処理装置および基板処理装置
US6527860B1 (en) 1999-10-19 2003-03-04 Tokyo Electron Limited Substrate processing apparatus
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6364547B1 (en) * 1999-10-25 2002-04-02 Tokyo Electron Limited Solution processing apparatus
US6431769B1 (en) 1999-10-25 2002-08-13 Tokyo Electron Limited Substrate processing system and substrate processing method
TW471015B (en) 1999-10-26 2002-01-01 Tokyo Electron Ltd Solution processing apparatus
US6278089B1 (en) 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
KR100616293B1 (ko) * 1999-11-11 2006-08-28 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
KR100583134B1 (ko) 1999-11-16 2006-05-24 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
JP4090648B2 (ja) 1999-11-18 2008-05-28 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100728244B1 (ko) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 실리레이션처리장치 및 방법
TW518639B (en) * 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
US6597179B2 (en) 1999-11-19 2003-07-22 Gelcore, Llc Method and device for remote monitoring of LED lamps
JP3306398B2 (ja) 1999-11-29 2002-07-24 大日本スクリーン製造株式会社 基板搬送装置および搬送教示システム
US6402508B2 (en) 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6695922B2 (en) * 1999-12-15 2004-02-24 Tokyo Electron Limited Film forming unit
US6514344B2 (en) * 1999-12-16 2003-02-04 Tokyo Electron Limited Film forming unit
US6676757B2 (en) * 1999-12-17 2004-01-13 Tokyo Electron Limited Coating film forming apparatus and coating unit
KR20010062439A (ko) 1999-12-17 2001-07-07 히가시 데쓰로 도포막 형성장치
US6616760B2 (en) 1999-12-17 2003-09-09 Tokyo Electron Limited Film forming unit
WO2001048800A1 (fr) 1999-12-24 2001-07-05 Ebara Corporation Procede et appareil de traitement de tranche de semi-conducteur
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6384894B2 (en) 2000-01-21 2002-05-07 Tokyo Electron Limited Developing method and developing unit
GB0002669D0 (en) 2000-02-04 2000-03-29 Applied Materials Inc A method and apparatus for implanting semiconductor wafer substrates
JP3437812B2 (ja) 2000-02-07 2003-08-18 タツモ株式会社 基板搬送装置
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001230191A (ja) 2000-02-18 2001-08-24 Tokyo Electron Ltd 処理液供給方法及び処理液供給装置
JP3842512B2 (ja) 2000-02-24 2006-11-08 オムロン株式会社 流体加熱装置
KR100462237B1 (ko) 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
US6401353B2 (en) 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
JP4054159B2 (ja) 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
JP2001257144A (ja) 2000-03-09 2001-09-21 Tokyo Electron Ltd 基板の加熱処理装置
US6634806B2 (en) 2000-03-13 2003-10-21 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6327793B1 (en) 2000-03-20 2001-12-11 Silicon Valley Group Method for two dimensional adaptive process control of critical dimensions during spin coating process
JP3706294B2 (ja) 2000-03-27 2005-10-12 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
SG105487A1 (en) 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
AU2001247687A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Dry silylation plasma etch process
JP2001291655A (ja) 2000-04-07 2001-10-19 Tokyo Electron Ltd 疎水化処理の評価方法、レジストパターンの形成方法及びレジストパターン形成システム
JP3792986B2 (ja) 2000-04-11 2006-07-05 東京エレクトロン株式会社 膜形成方法及び膜形成装置
JP3519669B2 (ja) 2000-04-25 2004-04-19 東京エレクトロン株式会社 現像処理方法及び現像処理装置
TW593376B (en) 2000-04-27 2004-06-21 Shinetsu Chemical Co Polymer, chemically amplified resist composition and patterning process
US6827142B2 (en) * 2000-04-27 2004-12-07 Innoventor Engineering, Inc. Process and apparatus for achieving precision temperature control
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6572205B2 (en) 2000-05-09 2003-06-03 Sony Computer Entertainment Inc. Electronic device cabinet and electronic device
JP3545676B2 (ja) 2000-05-10 2004-07-21 東京エレクトロン株式会社 現像処理装置及び現像処理方法
JP3648129B2 (ja) * 2000-05-10 2005-05-18 東京エレクトロン株式会社 塗布現像処理方法及び塗布現像処理システム
US6579370B2 (en) 2000-05-16 2003-06-17 Tokyo Electron Limited Apparatus and method for coating treatment
JP2001345241A (ja) * 2000-05-31 2001-12-14 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP2001351848A (ja) * 2000-06-07 2001-12-21 Tokyo Electron Ltd 基板処理システム及び基板処理方法
US6706321B2 (en) 2000-06-13 2004-03-16 Tokyo Electron Limited Developing treatment method and developing treatment unit
JP2001358197A (ja) 2000-06-16 2001-12-26 Tokyo Electron Ltd 基板処理装置
JP3585217B2 (ja) 2000-07-03 2004-11-04 東京エレクトロン株式会社 基板処理装置
JP3581303B2 (ja) 2000-07-31 2004-10-27 東京エレクトロン株式会社 判別方法及び処理装置
JP2002134402A (ja) * 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6460805B1 (en) 2000-08-24 2002-10-08 Msa Aircraft Products, Ltd. Double convex aircraft window
CN100398272C (zh) 2000-09-01 2008-07-02 阿赛斯特技术公司 具有缓冲性能的边缘夹持校准器
JP4004248B2 (ja) 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 基板処理装置および基板検査方法
US6379056B1 (en) * 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP3837016B2 (ja) 2000-09-28 2006-10-25 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
DE10049845A1 (de) * 2000-10-09 2002-04-11 Philips Corp Intellectual Pty Mehrband-Mikrowellenantenne
JP3587776B2 (ja) 2000-10-10 2004-11-10 東京エレクトロン株式会社 塗布装置及び塗布方法
GB2384309B8 (en) 2000-10-13 2016-03-02 Irm Llc High throughput processing system and method of using
US6616762B2 (en) 2000-10-13 2003-09-09 Tokyo Electron Limited Treatment solution supply apparatus and treatment solution supply method
US6805769B2 (en) 2000-10-13 2004-10-19 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
TW563196B (en) 2000-10-30 2003-11-21 Dainippon Screen Mfg Substrate processing apparatus
JP3616748B2 (ja) 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
US6691216B2 (en) 2000-11-08 2004-02-10 Texas Instruments Incorporated Shared program memory for use in multicore DSP devices
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
EP1341221A1 (en) * 2000-11-22 2003-09-03 Nikon Corporation Aligner, aligning method and method for fabricating device
JP3741604B2 (ja) 2000-11-27 2006-02-01 東京エレクトロン株式会社 熱処理装置および熱処理方法
US6692219B2 (en) 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
JP3943828B2 (ja) 2000-12-08 2007-07-11 東京エレクトロン株式会社 塗布、現像装置及びパターン形成方法
JP2002184831A (ja) 2000-12-11 2002-06-28 Hirata Corp Foupオープナ
JP2002184671A (ja) 2000-12-14 2002-06-28 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP3702175B2 (ja) 2000-12-19 2005-10-05 東京エレクトロン株式会社 熱処理装置及びその方法、並びにパターン形成方法
US6744020B2 (en) 2001-01-04 2004-06-01 Tokyo Electron Limited Heat processing apparatus
JP2002208554A (ja) 2001-01-10 2002-07-26 Tokyo Electron Ltd 基板処理装置
JP3950299B2 (ja) 2001-01-15 2007-07-25 東京エレクトロン株式会社 基板処理装置及びその方法
US6790286B2 (en) 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
JP4124400B2 (ja) * 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US20020098072A1 (en) 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
GB2371634B (en) * 2001-01-30 2005-05-25 Aqualisa Products Ltd Water mixing valve apparatus
US7069984B2 (en) * 2001-02-08 2006-07-04 Oriol Inc. Multi-channel temperature control system for semiconductor processing facilities
KR100848772B1 (ko) 2001-02-22 2008-07-28 도쿄엘렉트론가부시키가이샤 기판처리장치
US6692165B2 (en) * 2001-03-01 2004-02-17 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6860945B2 (en) 2001-03-22 2005-03-01 Tokyo Electron Limited Substrate coating unit and substrate coating method
US6669808B2 (en) 2001-03-22 2003-12-30 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method
JP3713447B2 (ja) * 2001-04-05 2005-11-09 東京エレクトロン株式会社 現像処理装置
US6623235B2 (en) 2001-04-11 2003-09-23 Pri Automation, Inc. Robot arm edge gripping device for handling substrates using two four-bar linkages
US6884294B2 (en) 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP4025030B2 (ja) 2001-04-17 2007-12-19 東京エレクトロン株式会社 基板の処理装置及び搬送アーム
JP4435443B2 (ja) 2001-04-17 2010-03-17 大日本スクリーン製造株式会社 基板搬送装置および基板搬送方法
JP3967618B2 (ja) 2001-04-17 2007-08-29 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
US6558053B2 (en) 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6823880B2 (en) 2001-04-25 2004-11-30 Kabushiki Kaisha Kobe Seiko Sho High pressure processing apparatus and high pressure processing method
KR100488376B1 (ko) 2001-04-27 2005-05-11 가부시키가이샤 고베 세이코쇼 기판 처리 방법 및 기판 처리 설비
US6641963B1 (en) * 2001-04-30 2003-11-04 Advanced Micro Devices, Inc System and method for in situ control of post exposure bake time and temperature
JP3934362B2 (ja) 2001-05-14 2007-06-20 大日本スクリーン製造株式会社 素子支持装置
JP4006191B2 (ja) 2001-05-14 2007-11-14 大日本スクリーン製造株式会社 光ファイバーの連結装置
US6889105B2 (en) 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6598805B2 (en) 2001-05-30 2003-07-29 Dainippon Screen Mfg. Co., Ltd Substrate cleaning apparatus
KR100857972B1 (ko) 2001-06-07 2008-09-10 도쿄엘렉트론가부시키가이샤 도포막 형성방법 및 도포막 형성장치
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
AT500378B1 (de) 2001-06-13 2006-12-15 Tgw Transportgeraete Gmbh Regalbediengerät
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
KR100452317B1 (ko) * 2001-07-11 2004-10-12 삼성전자주식회사 포토리소그래피 공정시스템 및 그 방법
EP1406751A2 (en) * 2001-07-13 2004-04-14 FSI International Robotic system control
US20030010449A1 (en) 2001-07-16 2003-01-16 Gramarossa Daniel J. Automatic wafer processing and plating system
US6689782B2 (en) * 2001-07-16 2004-02-10 Essential Therapeutics, Inc. Fungal efflux pump inhibitors
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US6458607B1 (en) * 2001-07-23 2002-10-01 Advanced Micro Devices, Inc. Using UV/VIS spectrophotometry to regulate developer solution during a development process
JP2003037107A (ja) * 2001-07-25 2003-02-07 Tokyo Electron Ltd 処理装置及び処理方法
TWI232509B (en) 2001-07-25 2005-05-11 Tokyo Electron Ltd Processing apparatus and processing method
JP3725051B2 (ja) * 2001-07-27 2005-12-07 大日本スクリーン製造株式会社 基板処理装置
JP3880343B2 (ja) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US6841342B2 (en) * 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
US7217076B2 (en) 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
US6878216B2 (en) 2001-09-03 2005-04-12 Tokyo Electron Limited Substrate processing method and substrate processing system
JP3844670B2 (ja) 2001-09-14 2006-11-15 東京エレクトロン株式会社 塗布膜形成装置
US6808566B2 (en) 2001-09-19 2004-10-26 Tokyo Electron Limited Reduced-pressure drying unit and coating film forming method
JP4073186B2 (ja) 2001-09-20 2008-04-09 大日本スクリーン製造株式会社 基板処理装置のスケジュール作成方法及びそのプログラム
US6878401B2 (en) 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
JP4018892B2 (ja) 2001-10-03 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
US6598806B2 (en) 2001-10-09 2003-07-29 Gary G. B. Phipps Windshield washer apparatus for marine craft
US6819402B2 (en) * 2001-10-18 2004-11-16 Asml Holding N.V. System and method for laser beam expansion
US6790283B2 (en) 2001-10-18 2004-09-14 Tokyo Electron Limited Coating apparatus
JP3718647B2 (ja) 2001-10-19 2005-11-24 東京エレクトロン株式会社 現像装置及び現像方法
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
JP4018958B2 (ja) 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
US6752442B2 (en) 2001-11-09 2004-06-22 Speedfam-Ipec Corporation Workpiece handling end-effector and a method for processing workpieces using a workpiece handling end-effector
JP3751246B2 (ja) 2001-11-13 2006-03-01 大日本スクリーン製造株式会社 薄膜形成装置および搬送方法
US6682113B2 (en) 2001-11-16 2004-01-27 Applied Materials, Inc. Wafer clamping mechanism
US6811613B2 (en) 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
JP2003170384A (ja) 2001-12-04 2003-06-17 Rorze Corp 平板状物の搬送用スカラ型ロボットおよび平板状物の処理システム
JP3910054B2 (ja) * 2001-12-10 2007-04-25 東京エレクトロン株式会社 基板処理装置
JP2003257849A (ja) 2001-12-26 2003-09-12 Dainippon Screen Mfg Co Ltd 基板の現像処理装置
JP4025069B2 (ja) 2001-12-28 2007-12-19 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US6678581B2 (en) 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6758612B1 (en) * 2002-01-16 2004-07-06 Advanced Micro Devices, Inc. System and method for developer endpoint detection by reflectometry or scatterometry
US6451621B1 (en) * 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
JP2003215002A (ja) 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
JP3992601B2 (ja) 2002-01-31 2007-10-17 大日本スクリーン製造株式会社 薬液処理装置
JP4195227B2 (ja) 2002-02-22 2008-12-10 東京エレクトロン株式会社 被処理体の導入ポート構造
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
JP3637898B2 (ja) 2002-03-05 2005-04-13 セイコーエプソン株式会社 表示駆動回路及びこれを備えた表示パネル
JP3811082B2 (ja) 2002-03-08 2006-08-16 大日本スクリーン製造株式会社 基板処理装置及び基板処理方法
US6796054B2 (en) 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
US6848625B2 (en) * 2002-03-19 2005-02-01 Tokyo Electron Limited Process liquid supply mechanism and process liquid supply method
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JP3688264B2 (ja) 2002-03-20 2005-08-24 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP3939178B2 (ja) * 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 高圧乾燥装置、高圧乾燥方法および基板処理装置
JP4274736B2 (ja) 2002-03-28 2009-06-10 大日本スクリーン製造株式会社 基板処理装置
US6752544B2 (en) 2002-03-28 2004-06-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
US6869234B2 (en) 2002-03-28 2005-03-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4048074B2 (ja) * 2002-04-12 2008-02-13 東京エレクトロン株式会社 処理装置
JP4342147B2 (ja) 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 基板処理装置
CN1276306C (zh) * 2002-05-14 2006-09-20 株式会社东芝 加工方法及半导体器件的制造方法
JP2003347186A (ja) 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd 基板処理装置
US6678582B2 (en) * 2002-05-30 2004-01-13 Kuka Roboter Gmbh Method and control device for avoiding collisions between cooperating robots
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
SE523109C2 (sv) 2002-07-15 2004-03-30 Stock Of Sweden Ab Anordning för kraftöverföring hos en arbetsmaskin
JP2004055697A (ja) 2002-07-17 2004-02-19 Ace:Kk 基板の移載、搬送装置及び移載方法
KR20040013965A (ko) 2002-08-09 2004-02-14 삼성전자주식회사 멀티 챔버형의 공정설비
JP4233285B2 (ja) * 2002-08-23 2009-03-04 大日本スクリーン製造株式会社 基板処理装置
TWI262165B (en) 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
US6885467B2 (en) 2002-10-28 2005-04-26 Tevet Process Control Technologies Ltd. Method and apparatus for thickness decomposition of complicated layer structures
US6946511B2 (en) 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US6770424B2 (en) 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
JP4053906B2 (ja) 2003-03-14 2008-02-27 株式会社豊電子工業 作業ロボット用ハンド装置
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
EP1495702A1 (fr) 2003-07-10 2005-01-12 Nestec S.A. Dispositif pour l'extraction d'une capsule
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7226269B2 (en) 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
KR100996102B1 (ko) 2004-10-27 2010-11-22 삼성전자주식회사 고출력 증폭기의 바이어스 적응 바이어스 제어 장치 및 방법
US20060182535A1 (en) 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP4356936B2 (ja) 2005-01-21 2009-11-04 東京エレクトロン株式会社 塗布、現像装置及びその方法
US20060236941A1 (en) 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
US7374391B2 (en) 2005-12-22 2008-05-20 Applied Materials, Inc. Substrate gripper for a substrate handling robot
US20060241813A1 (en) 2005-04-22 2006-10-26 Applied Materials, Inc. Optimized cluster tool transfer process and collision avoidance design
WO2007112454A2 (en) * 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102292796A (zh) * 2009-01-23 2011-12-21 艾克塞利斯科技公司 防冷凝隔热卡盘
CN102292796B (zh) * 2009-01-23 2013-09-25 艾克塞利斯科技公司 防冷凝隔热卡盘
CN101897351A (zh) * 2009-05-31 2010-12-01 北京佩奇科技发展中心 堇青石烤炉
CN103137519A (zh) * 2011-11-28 2013-06-05 和舰科技(苏州)有限公司 冷热板装置及其控温方法
CN103137519B (zh) * 2011-11-28 2016-08-17 和舰科技(苏州)有限公司 冷热板装置及其控温方法
CN103426798A (zh) * 2012-05-25 2013-12-04 先进科技新加坡有限公司 用于在晶粒键合期间加热衬底的装置
CN104451888A (zh) * 2013-09-12 2015-03-25 应用材料公司 用于半导体晶片的退火模块
CN104451888B (zh) * 2013-09-12 2018-07-24 应用材料公司 用于半导体晶片的退火模块
CN107644832A (zh) * 2016-07-20 2018-01-30 朗姆研究公司 用于系统维护期间储存和组织mca特征和晶片传送销的设计
CN107644832B (zh) * 2016-07-20 2023-09-29 朗姆研究公司 用于系统维护期间储存和组织mca特征和晶片传送销的设计

Also Published As

Publication number Publication date
JP2013093597A (ja) 2013-05-16
US20120180983A1 (en) 2012-07-19
JP2012169654A (ja) 2012-09-06
US7925377B2 (en) 2011-04-12
US20060134536A1 (en) 2006-06-22
US20060158240A1 (en) 2006-07-20
US20060132730A1 (en) 2006-06-22
US20060134340A1 (en) 2006-06-22
US20090064929A1 (en) 2009-03-12
US8181596B2 (en) 2012-05-22
US20060130750A1 (en) 2006-06-22
US7255747B2 (en) 2007-08-14
JP2012069957A (ja) 2012-04-05
US7371022B2 (en) 2008-05-13
US20060278165A1 (en) 2006-12-14
US7396412B2 (en) 2008-07-08
US20080296316A1 (en) 2008-12-04
US20090064928A1 (en) 2009-03-12
US8146530B2 (en) 2012-04-03
CN101142656A (zh) 2008-03-12
US8550031B2 (en) 2013-10-08
US20060134330A1 (en) 2006-06-22
US20060286300A1 (en) 2006-12-21
US20060130767A1 (en) 2006-06-22
US20080223293A1 (en) 2008-09-18
US7743728B2 (en) 2010-06-29
US8215262B2 (en) 2012-07-10
CN101443131B (zh) 2011-08-17
US20120320361A1 (en) 2012-12-20
US7694647B2 (en) 2010-04-13
US20090067956A1 (en) 2009-03-12
US7357842B2 (en) 2008-04-15
US20080199282A1 (en) 2008-08-21
US20060130747A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
CN101443131B (zh) 集成热单元
KR101071004B1 (ko) 통합 열 장치
KR100567521B1 (ko) 가열·냉각처리장치 및 기판처리장치
US7601934B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
KR101070520B1 (ko) 기판 처리 방법 및 장치
US8289496B2 (en) System and method for treating substrate
US7282675B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
JP2009135169A (ja) 基板処理システムおよび基板処理方法
US20090001071A1 (en) Method and System for Cooling a Bake Plate in a Track Lithography Tool
US7274005B2 (en) Bake plate having engageable thermal mass
US7297906B2 (en) Integrated thermal unit having a shuttle with two-axis movement
KR20120022541A (ko) 열처리 방법 및 그 열처리 방법을 실행시키기 위한 프로그램을 기록한 기록 매체 및 열처리 장치
WO2008059684A1 (fr) Equipement de transport de substrat
US7741585B2 (en) Integrated thermal unit having a shuttle with two-axis movement
TWI493649B (zh) 具有垂直配置方式之烤盤與冷卻盤的整合式熱單元
US20080099181A1 (en) Method to cool a bake plate using an actively chilled transfer shuttle
US20070295276A1 (en) Bake plate having engageable thermal mass
US7288746B2 (en) Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US20080145191A1 (en) Actively chilled substrate transport module
KR20230029507A (ko) 기판 처리 장치 및 반도체 장치 제조 방법
JP4053728B2 (ja) 加熱・冷却処理装置及び基板処理装置
JP4906140B2 (ja) 基板処理システム
KR100882474B1 (ko) 세정 유닛을 갖는 기판 처리 장치
US20080236787A1 (en) Method to cool bake plates in a track lithography tool
JP4906141B2 (ja) 基板処理システム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: SCREEN SEMICONDUCTOR SOLUTIONS CO., LTD.

Free format text: FORMER NAME: SOKUDO KK

CP03 Change of name, title or address

Address after: Kyoto Japan

Patentee after: Skrine Semiconductor Technology Co. Ltd.

Address before: Kyoto Japan

Patentee before: Sokudo Co., Ltd.