CN101256935B - 用于控制流到处理腔室的气流的方法和装置 - Google Patents

用于控制流到处理腔室的气流的方法和装置 Download PDF

Info

Publication number
CN101256935B
CN101256935B CN2008100063306A CN200810006330A CN101256935B CN 101256935 B CN101256935 B CN 101256935B CN 2008100063306 A CN2008100063306 A CN 2008100063306A CN 200810006330 A CN200810006330 A CN 200810006330A CN 101256935 B CN101256935 B CN 101256935B
Authority
CN
China
Prior art keywords
gas
manifold
outlet
flow
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008100063306A
Other languages
English (en)
Other versions
CN101256935A (zh
Inventor
埃兹拉·罗伯特·古德
理查德·查尔斯·福韦尔
詹姆斯·帕特里克·克鲁斯
贾里德·阿曼德·李
布拉诺·杰夫林
小道格拉斯·亚瑟·布赫伯格
马丁·杰弗里·萨利纳斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101256935A publication Critical patent/CN101256935A/zh
Application granted granted Critical
Publication of CN101256935B publication Critical patent/CN101256935B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet

Abstract

本发明提供一种用于将气体输送到半导体处理系统的方法和装置。在一个实施方式中,用于将气体输送到半导体处理系统的装置包括具有入口和出口的多个气体输入和输出管道。连接管道耦接各自一对气体输入和气体输出管道。连接阀配置为控制经过各个连接管道的流量。气体质量流量控制器配置为控制流入各个入口的流量。在另一实施方式中,一种方法包括:提供具有至少多个入口的歧管,其中该多个入口可选择性耦接到多个出口其中至少之一;在处理之前或到校准管路之前,流动一种或多种气体经过歧管到旁通处理腔室的真空环境;以及在衬底处理期间流动一种或多种气体进入处理腔室。

Description

用于控制流到处理腔室的气流的方法和装置
技术领域
本发明的实施方式一般涉及用于控制流到处理腔室的气流的方法和装置。
背景技术
对于许多微电子器件制造工艺,气流的精确控制是重要的工艺控制因素。在半导体处理腔室中在衬底和衬底支架之间提供气体是一种用于改善衬底和衬底支架之间的热传递并从而增强衬底温度控制的精确性和均匀性的众所周知的方法。另外,为了获得所需的处理结果,特别是随着关键尺寸和薄膜厚度缩小,需要精确控制流到处理腔室中的工艺气流。并且,可以将气体添加到处理腔室流出物流(effluent shrink),以减轻衬底处理的环境影响。必须要很好地控制添加到流出物流中的气体,从而确保有效成本和适当的补救。
与半导体处理腔室一起使用的传统气体输送系统一般包括气体质量流量计(mass gas flow meter,MFC)作为主要的流量调整器件。然而,MFC的精确度可能受到引起实际气流的不确定性的多个因素影响。例如,MFC的精确性通常将随温度、输送管道压力和容量(volume)的变化而改变。由于MFC不准确引起的从气流设定点的偏差将引起处理缺陷、差的排放控制和昂贵气体的无效浪费。
尽管传统的压力控制系统已经证明相对可靠,但是利用现有技术的现场试验已经增加了对于流量进行更准确测量的要求。例如,在背侧衬底冷却应用中所使用的气流的不良控制将导致不良的衬底温度控制,从而导致差的薄膜沉积或蚀刻结果,这在下一代电路设计中是无法容忍的。
然而,传统的气体输送系统一般具有固定的导管,用于将气体从气源发送到处理腔室中。因而,只有预定组合的工艺气体可在任意时间被传送到处理腔室中。该固定的气体传送路径阻挡了工艺灵活性。例如,具有固定气体传送路径的处理腔室不能容纳需要不同组合的工艺气体的新的或修改的工艺菜单。另外,一种具有设计以输送一种组合的工艺气体从而执行第一工艺的处理腔室不 能执行利用不同组合气体的第二工艺,从而阻止处理腔室用于其它工艺,并使半导体代工厂(FAB)拥有者投资其它的固定设备(capitol equipment)。因而,期望设计一种具有更好灵活性的气体输送系统。
因此,需要一种用于控制至半导体处理系统的气体输送的改进的方法和装置。
发明内容
本发明提供一种用于将气体输送到半导体处理系统的方法和装置。在一个实施方式中,用于将气体输送到半导体处理系统的装置包括具有入口的多个气体输入管道和具有出口的多个气体输出管道。提供耦接各对气体输入和气体输出管道的多个连接管道。连接阀配置为控制经过各个连接管道的流量。多个气体质量流量控制器配置为控制流入各自入口的流量。
在另一实施方式中,提供一种用于控制到处理系统的气流的方法,其中该处理系统包括经过前级管道耦接到设备排气装置的处理腔室,该方法包括:提供具有至少第一、第二、第三和第四入口的歧管,该入口可选择性耦接到第一、第二、第三和第四出口的至少其中之一;在处理或到校准管路之前,流动一种或多种气体经过歧管到旁通处理腔室的真空环境;以及在衬底处理期间,流动一种或多种气体进入处理腔室。
在另一实施方式中,提供了一种用于控制到处理系统的控制气流的方法,该处理系统包括经过前级管道耦接到设备排气装置的处理腔室。该方法包括:流动来自第一气源的第一气体进入至少具有第一出口、第二出口、第三出口和第四出口的歧管中;流动来自第二气源的第二气体进入歧管;选择歧管内阀的操作状态,以在处理模式下使第一和第二气体经过第二或第三出口的至少其中之一排出;流动第一和第二气体进入歧管并且进入旁通处理腔室的前级管道直到获得歧管内气体的预定状态;在已经获得预定状态之后,导引从歧管排出的第一和第二气体进入到处理腔室;并且处理所述处理腔室内的衬底。
附图说明
为了能详细理解本发明的上述特征,将参照部分在附图中示出的实施方式对以上的概述进行更加详细的描述。然而,应该注意到,附图仅示出了本发明 的典型实施方式,并因此其不能被理解为是对本发明范围的限制,因为本发明允许存在其它等效的实施方式。
图1是耦接到本发明的气体输送系统的一个实施方式的半导体处理腔室的简要示意图;
图2是图1的气体输送系统的混合歧管的一个实施方式的简要视图;
图3是混合歧管的另一实施方式的简要视图;
图4是两个彼此耦接的混合歧管的一个实施方式的简要视图;
图5是耦接到气体输送系统的另一实施方式的半导体处理腔室的简要视图;以及
图6是耦接到气体输送系统的另一实施方式的半导体处理腔室的简要视图。
为了有助于理解,尽可能使用相似的附图标记表示附图中共有的相似元件。应该理解,一个实施方式的特征可以有益地结合到其它实施方式中而不用进一步阐述。
具体实施方式
图1描述了气体输送系统100的简要视图,其用于将气体供应到示例性的半导体处理腔室114。处理腔室114用于执行化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻工艺、离子注入、热处理、灰化、除气、定向或其它真空处理技术。控制器150耦接到处理腔室114和气体输送系统100,以控制其操作。控制器150一般包括处理器、辅助电路和存储器。
位于处理腔室114外部的气体输送系统100包括耦接到气体歧管134的多个气源。歧管134包括能使任意组合的气源耦接到处理腔室114的多个阀(将在以下讨论)。歧管134还用于允许独立的系统组件和导管的快速排放和流量校验(flow verification)。尽管系统100配置为与任意数目的气源接口,但是在图1中示出的实施方式中示出了六个气源102A-F。
每个气源102A-F耦接到各个歧管入口104A-F。截止阀142和质量流量计(MFC)170设置在每个气源102A-F和相应的歧管入口104A-F之间,以控制从每个源102A-F进入到歧管134的气体的流量。歧管134包括多个歧管出口106A-F,其可经过歧管入口104A-F而选择性地耦接到气源102A-F的任意一 个。至少一个出口106A-F耦接到校准管路144和/或净化管道154。
在图1中示出的实施方式中,提供了六个气体出口106A-F。第一气体输送出口106A耦接到校准管路144,其用于精确测量气流。校准管路144包括孔130(orifice),其设计大小以提供阻塞的流动条件(chocked flow condition)。在一个实施方式中,设计孔130的大小,从而提供基本等于处理腔室的限制的限制。孔130在歧管134中产生流动条件(flow condition),其类似于当气体流入处理腔室114中时存在的条件。校准管路144可用于执行MFC或其它系统组件的流量校验,同时不需要流入实际的处理腔室114。孔130可通过实验、经验分析或通过其它适当的方法而确定。在一个实施方式中,孔130可以通过测量孔130的压力下游(pressure downstream)以及调整孔尺寸直到实现所需的压力而确定。
在一个实施方式中,校准管路144包括气源、分流阀(diverter valve)、孔、调节器件和感应管路。调节器件流体性耦接在气源和分流阀的入口之间。孔流体性耦接到分流阀的第一出口并具有与处理腔室基本相同的流动阻力。感应管路用于接收经过孔的气体的流量。在另一实施方式中,校准管路144利用已校准过的容积而接收气流。通过从已校准容积中的气体所测量得到的性能和/或属性,可检验进入感应管路的气体的流速和/或压力。在另一实施方式中,校准管路144利用未校准的容量而接收气流。通过测量在未校准容量中气体的性能和/或属性随着时间的变化,可检验进入感应管路的气体的流速和/或压力。在又一实施方式中,校准管路144包括设置在已校准容量中的振动构件。在其它实施方式中,校准管路144可包括感应器,其用于检测设置在已校准容量中的气体的电特性或磁特性中至少之一。在再一实施方式中,校准管路144包括由悬臂支持的罐(tank)。
离开校准管路144的流体通过校准管路出口管道142而耦接到净化管道154。隔离阀140选择性隔离校准管路144与净化管道154。净化管道154耦接到退出处理腔室114接到设备排气装置136的前级管道138。
出口106B-E耦接到处理腔室114的一个或多个入口,以供应来自气源102A-F的各种工艺气体。在图1中示出的实施方式中,出口106B-E分别耦接到处理腔室114的入口110A-D。多个最终阀116可分别耦接在歧管出口106B-E和腔室入口110A-D之间,以用作进入处理腔室114的气流的开/关流 量控制。
第六口106F经过隔离阀172耦接到净化管道154。当隔离阀172被打开时,净化管道154提供快速排放路径,其有助于从气体歧管134有效地去除气体以及有助于气体进入到设备排放装置136。节流阀156可用于控制从歧管134经过净化管道154的气体的流量。快速排放路径允许在气体之间的串扰最小的情况下实现快速气体变化。
快速排放路径还可选择性耦接到歧管出口106A-E和校准管路144。在图1中示出的实施方式中,旁通阀108A-E设置在歧管出口106A-E和净化管道154之间。旁通阀108A-E可选择性操作,以将出口106A-E耦接到前级管道138。例如,旁通阀108A可选择性操作以导引从歧管出口108A排出的气体进入净化管道154而,旁通校准管路144。在另一实施例中,旁通阀108B-E可选择性操作以导引从歧管出口106B-E排出的气体进入净化管道154而,旁通处理腔室114。隔离阀172控制从歧管134的第六口106F进入净化管道154的流量。
还可在气体输送系统100中的各种位置处提供感应器190,以提供表示在系统100内气流和/或化学物质的度量。控制器150可以利用由感应器190提供的度量以调整气体输送系统100的MFC 170或其它组件的输出,从而使得具有所需组分、压力、速度或体积的气体提供到腔室114。感应器190可以是压力感应器、化学物质感应器(chemistry sensor)、流速感应器等等。
图2是在图1中示出的歧管134的一个实施方式的简要视图。每个歧管入口104A-F分别耦接到入口气体输送管道220A-F,以有助于将气体从气源102A-F传送到歧管134中。每个歧管出口106A-F分别耦接到出口气体输送管道232A-F。每个气体输送管道232A-F可选择性耦接到一个或多个入口气体输送管道220A-F。尽管歧管134可配置为与任意数目的入口气体出口气体输送管道接口,但是在图2中示出的实施方式中示出了六个气体输送管道102A-F和六个出口气体输送管道232A-F。通常,气体入口输送管道的数目与气源的数目相当。
入口气体输送管道220A包括多个连接管道250A-F,其将入口气体输送管道220A耦接到各个出口气体输送管道232A-F。根据阀204A-F的所选操作状态,连接阀204A-F设置为与连接管道250A-F相通并且可操作以经过入口气体输送管道220A将入口气体输送管道220A流体性地耦接到一个或多个出口气体输送管道232A-F。连接阀204A-F将气源102A选择性地耦接到所选的出口106A-F,从而控制从源102A提供的气体通过歧管134的路由(routing)。例如,如果连接阀204A处于打开操作状态同时连接阀204B-F保持关闭,来自源102A的气体经过出口106A发送到校准管路144。在另一实施例中,如果连接阀204B-C处于打开操作状态同时连接阀204A、D-F保持关闭,来自源102A的气体经过出口106B-C被发送。其它入口气体输送管道220B-F的每一个都类似地配置有连接管道250A-F和阀204A-F,用于将入口气体输送管道220B-F耦接到各个出口气体输送管道232A-F。省略与入口气体输送管道220B-F相关的标号250A-F和204A-F,以避免图2的混乱。
图3描述了气体歧管334的可选实施方式。气体歧管334基本类似于在图2中描述的气体歧管134,除了其中气体歧管334包括多个可变连接阀304A-F,其将气体入口输送管道220A耦接到每个气体出口输送管道232A-F。可调整可变连接阀304A-F,以允许经过气体入口输送管道220A的一定比例的流量进入各个气体出口输送管道。可变连接阀304A-F可以是比例阀、夹管阀、节流阀、质量流量控制器、针阀或适于调节入口和出口管道之间的流量的其它流量控制器件。
可以控制可变连接阀304A-F的操作状态以使通过阀的流量与旁通该阀的流量为所需比率,从而阀304A-F作为管道220A中的流量比率控制器工作。响应通过感应器190(未在图3中示出)提供的度量,可通过控制器150调整可变连接阀304A-F的操作状态。以这种方式,例如,响应由感应器190提供的度量,可调整从单一气体入口输送管道220A提供到两个(或更多个)气体出口输送管道232A-F中的气体的比率,使得可在歧管334的出口106A-F处获得目标化学组分、流速和/或压力。其它入口气体输送管道220B-F的每一个都类似地配置有连接管道250A-F和阀304A-F,用于将入口气体输送管道220B-F耦接到各个出口气体输送管道232A-F。省略与入口气体输送管道220B-F相关的标号250A-F和304A-F,以避免图3的混乱。
返回图2,歧管134可另外地包括桥接管路202。桥接管路202包括桥接管道260,其可通过各个选择器阀262A-F而选择性耦接到每个输出管道232A-F。使用桥接管路202,任意的流动组件,例如,连接阀204中的一个, 可以耦接到校准管路144。桥接管路202还允许与例如源102A的流体源联系的MFC170经由结合连接阀204A的阻力的第二路径而耦接到校准管路144。而且,桥接管路202允许每个输出管道232A-E从相对端耦接(经过输出管道232F)到净化管道154,从而减少净化歧管134所需的时间。
还可提供多个断路器(disconnect)以允许多个气体歧管134的耦接。在图2中示出的实施方式中,第一组的断路器216A邻近每个出口106A-F设置,而第二组断路器216B设置在桥接管道260和阀262A-F之间的桥接管路202中。例如,如在图4中所示,第二组断路器216B允许去除第一歧管434A的桥接管道260,并且使用每个歧管434A、434B的断路器216A、216B的配对部分,允许第一歧管434A的气体出口输送管道232A的第一端402耦接到第二歧管434B的出口管道232A的第二端404。其它出口管道232B-F(未在图3中示出)类似地耦接。应该理解,任意数目的歧管以该方式耦接在一起。
返回图2,在以上所述的一个或多个感应器190可以与管道220A-F、232A-F、260、154接口,以提供表示系统100内的气流和/或化学物质的度量。控制器150利用由感应器190提供的度量调整MFC 170、阀262A-F、204A-F、304A-F或气体输送系统100的其它组件的操作状态,从而使得具有所需组分、压力、速度或体积的气体提供到腔室114。度量还可用于监控气体输送系统100的各种部分内的气体组分,使得可以实时检测净化、化学混合、气体变化等等的状态,从而提高系统响应时间并使昂贵工艺气体的浪费最小。
图5描述了用于供应气体到处理腔室114的另一实施方式的气体输送系统500。气体输送系统500包括如上所述耦接到气源102A-F、净化管道154和校准管路144的歧管134。歧管134的出口106B-E选择性耦接到处理腔室114的入口516、518,以有助于传送气体到处理腔室114。在图5中所示的实施方式中,两个分离的气体入口516、518用于将从歧管134供应的气体输送到处理腔室114。在一个实施方式中,入口516提供气体到处理腔室114的中央,同时入口518设置得比入口516更靠外侧并且提供气体到处理腔室的外部区域(例如,到设置在腔室内的衬底的外围)。例如,入口516可提供气体到喷头的中央区域,同时入口518可提供气体到喷头的外部区域。在另一实施例中,入口516可设置在处理腔室的顶部中并且向下提供气体到衬底,而入口518设置在处理腔室的侧壁中并且提供气体到衬底的外部区域。在又一实施方式 中,气体可分别经由入口516、518而提供,使得气体的混合仅在处理腔室114的内部空间内发生一次。
流量比率控制器(FRC)502、504耦接到每个出口106D-E。FRC 502、504将从每个出口106D-E排出的流量进行划分,使得在处理腔室114的每个入口516、518之间提供预定流量比率。FRC 502、504可具有固定的输出比率,或者可具有可调整的输出比率。FRC 502、504的输出和歧管输出口106B-C可分别结合到共同的输送管道522、524。通过仅设置在处理腔室114的入口516、518的上游的最终阀508、506控制经过每个输送管道522、524的流量。
旁通阀510、512耦接到每个输送管道522、524。旁通阀510、512可打开,以将每个输送管道522、524耦接到净化管道154。
所述的气体输送系统相对于传统的系统提供多种优点。除了系统的模块性,气体输送系统可操作以提供预流路径、快速排放路径、受控化学混合、更有效地使用化学物质、降低填充和排放时间、用于化学物质输送精细调节的闭环控制和流量校验。
预流路径
在一个模式的操作中,预流路径限定为经过气体输送系统100并且提供从化学物质源(例如,源102A-F)经过一个或多个阀至与处理腔室114分离的真空环境的连接(例如,经过净化管道154的前级管道138)。在处理腔室114中需求气体之前,可在基本匹配气体条件下,仿佛气体正流入处理腔室114中,将气体供应到气体输送系统100的歧管134的适当管道中。这允许歧管134内的气体快速达到就绪状态条件,即基本保持一种气体转移到处理腔室114中。由于预流路径允许气体输送系统100内的气体在被输送到处理腔室114中之前在“工艺条件”下稳定,所以一旦到腔室中的流动开始,则输送系统100内的流动条件(flow condition)就很少或没有变化,与通常经历压力降低和减少流速的传统气体输送系统不同。因而,由于预流路径提供基本相同的阻力和流动条件,好像气体正在流入处理腔室中似的,所以可以快速建立在处理腔室内的气体均匀性。可以使用节流阀144以将预流气体路径中的条件与处理腔室114中的条件匹配。
另外,任何流量分配器件,诸如流量比率控制器502、504或阀304A-F, 可具有在处理之前被发送到预流路径中的输出,从而使得分配置器件的输出在流量被输送到处理腔室之前稳定。在一个实施方式中,预流路径限定为经过净化管道154和通过歧管出口106A-B经过旁通阀108A-F并入进入净化管道154中的旁通管道。
快速排放路径
在另一模式的操作中,快速排放路径限定为在气体输送系统100中并提供从歧管134经过净化管道154到前级管道138的连接。快速排放路径提供从化学物质输送源经过通过一个或多个阀的处理腔室输送路径到与处理腔室114分离的真空环境的连接(例如,经过净化管道154的前级管道138)。快速排放路径耦接到每个腔室连接,从而在任何两个流量限制之间存在至少一个连接,诸如流量比率控制器502、504,阀304A-F或其它流量限制。当需要在处理腔室114内的化学物质变化时,将打开到真空环境的隔离阀172和旁通阀108A-E,从化学输送路径去除过剩的工艺化学物质。
如上所述,确定歧管134内的各种阀的操作状态的顺序,从而最小化经过快速排放路径从气体输送系统100去除化学物质的净化时间。另外,还可确定阀204A-F的操作状态的顺序,从而当歧管134的特定区域腾空在之前工艺中使用的化学物质,然后腾空的区域可由新的化学物质填充,使得以最有效的方式更换歧管134内的气体。而且,当歧管134的一定部分可以相对于歧管的其它部分更快速地排空时,以允许新的化学物质替代旧的化学物质的方式确定阀204A-F的顺序,以在尽可能短的时间中达到平衡(例如,工艺流量条件)。
在一个实施方式中,可将来自源102A-F的较高压力、体积和/或流量的替代气体提供到歧管134的一个或多个区域中,以加速变换。当从歧管134排出的替代气体的流量接近所需的化学物质混合、压力和/或体积时,以保持流出歧管134的所需流量的方式,流入化学物质输送系统100的化学物质的流量可被降低到所需级别。在化学物质输送系统100将由来自较早工艺的化学物质填充的情形下,改变进入化学物质输送系统的流量(即,降低或增加,取决于所需效果),使得进入处理腔室的所需流量尽可能快地达到所需值。当流出歧管的化学物质接近所需的化学物质混合和流速时,以保持进入处理腔室114的所需流量的方式将进入歧管134的化学物质流量调整朝向所需流速。
在另一实施方式中,可通过确定阀204A-F的顺序而加速化学物质变化,使得只有含有还没有被替代的气体的气体输送管道232A-F保持耦接到净化管道154。一旦从其中一个气体输送管道232A-F或在其它预定位置检测到替代气体退出歧管134,供应替代气体的气体输送管道232A-F从净化管道154断开,使得不浪费替代气体。在一个实施方式中,替代气体可以被从净化管道154转向至处理腔室114中,直到完成变换(change over)。以这种方式,净化管道154的抽气容量只专用于需要去除气体的那些管道,使得以有效的方式进行处理气体的变换。还应该理解,对于至少部分变换时间,最快速的排放路径可包括气流经桥接管路202发送到净化管道154。
化学物质混合和闭环控制
在另一模式的操作中,气体输送系统100可用于增强化学物质混合。在一个实施方式中,化学物质混合使用阀304A-F或通过耦接两个或更多个源102A-F到单一的气体出口输送管道232A-F可以发生在歧管134内。
在另一实施方式中,感应器190可用于提供气体输送系统内的化学物质混合的闭环控制。通过使用感应器190监控进入腔室114的化学物质,退出歧管134的化学物质和/或在气体输送系统100内的任何其它点的化学物质,可实现化学物质参数,诸如所需的组分(例如,气体混合)、速度和/或压力的实时调整。例如,如果感应器在出口106C处检测到从歧管排出的来自源102A-B的化学物质的流量比率不合适,则可以调整将入口输送管道220A-220B耦接到出口输送管道232C的阀304C的操作状态,以使化学物质流达到所需的目标比率。可使用其它阀或流量比率控制器执行相同的处理。来自感应器190的信息还可以用于调整MFC设置、由源102A-F提供的气体的流速和/或压力。
气体和/或化学物质的保存
在另一模式的操作中,气体输送系统100增强化学物质的有效使用。例如,以从歧管134最有效地去除气体并且具有气体的最小混合的方式排列其中阀204A-F、172、108A-E、262A-F的操作状态变化的次序,从而允许更快的响应时间和减小处理时间。因而,在气体变化期间,可使用节流阀156调整气体经过输送路径(例如,经过出口管道232A-F)抽吸并进入到净化管道154的速度,从而使气体快速经过歧管134。然而,当净化管道154抽吸经过歧管134气体时,含有预备进行下一个处理次序的气体的管道,诸如新的替代气体、净化气体和/或之前包含于歧管中的最后的剩余物,可从净化管道154转向并流入处理腔室114。这允许耦接到净化管道154的剩余管道更加快速地排空。在一个实施方式中,由感应器190提供的度量可用于表示从净化管道154到腔室114应当发生转向时的时间,例如,通过气体的组分、管道内气体的流速和/或压力的变化或稳定性。
流量校验
在另一模式的操作中,气体输送系统100可用于使用校准管路144校验系统内任意组分的流速。例如,系统100的阀可提供从任意一个入口到校准管路144的流动。在另一实施例中,可校验由系统的阀分流的多个流沿着分流后的流的每个分支的流速。
图6是耦接到另一实施方式的气体输送系统600的半导体处理腔室114的简要视图。气体输送系统600基本类似于以上所述的气体输送系统配置,除了其中系统600包括耦接到系统的歧管134的至少一个出口106A-F的存储罐630。存储罐630可耦接到处理腔室114、第二处理腔室614(以虚线示出)、第二处理腔室614的气体输送系统100(500或600)、校准管路144或设备排气装置136的至少一个或多个。可提供感应器190,以提供表示罐630内的气体的度量。在一个实施方式中,度量可表示气体压力、气体组分(例如,化学物质)、温度或其它属性。
在一个实施方式中,每个出口106A-F可单独地耦接到罐630。在另一实施方式中,罐630可分段(如以虚线示出),使得每个106A-F可以保持在罐630中而不混合,然后单独耦接到处理腔室114。可选地,可以使用分离的罐630。还应该理解,罐630的入口可用于将气体输送到处理腔室114。
因而,具有快速排放路径的气体输送系统优点在于使从气体输送系统供应的处理气体以稳定的气流和最小的波动而进入到处理系统中。使用快速排放路径以提供可选的方式,以校验和/或校准来自气体输送系统的气流,从而提供对供应到处理系统的气流的良好控制。
虽然前述针对本发明的实施方式,但是在不脱离本发明的基本范围下,本 发明还有其它和进一步的实施方式,并且本发明的范围由所附的权利要求书所确定。

Claims (17)

1.一种控制至处理系统的气流的方法,其中该处理系统包括经过前级管道而耦接到设备排气装置的处理腔室,该方法包含:
将来自第一气源的第一气体流入具有至少第一出口、第二出口、第三出□和第四出口的歧管中,其中所述第一出口通过排放路径耦接到前级管道,所述排放路径包括隔离阀和净化管道,所述第二和第三出口每一个通过各个最终阀连接到所述处理腔室,所述第二和第三出口每一个通过各个旁通阀连接到预流路径,并且所述预流路径包括:
所述净化管道上游的节流阀;和
所述前级管道上游的净化管道;
将来自第二气源的第二气体流入所述歧管中;
选择所述歧管内的阀的操作状态,以在处理模式中时使第一和第二气体经过所述第二或第三出口中至少之一排出;
使所述第一和第二气体流过所述歧管的所述第二或第三出口中至少之一并通过所述预流路径流入旁通所述处理腔室的前级管道中,直到获得所述歧管内的气体的预定状态,其中通过利用所述节流阀来使所述预流路径中的条件与所述处理腔室的条件匹配而获得所述预定状态;
在已经获得所述预定状态之后导引所述第一和第二气体通过所述第二或第三出口中至少之一排出所述歧管并流入处理腔室中;以及
处理所述处理腔室内的衬底。
2.根据权利要求1所述的方法,其特征在于,进一步包含:
在完成衬底处理之后使所述第一和第二气体流过所述歧管并流入旁通所述处理腔室的所述前级管道中。
3.根据权利要求2所述的方法,其特征在于,进一步包含:
用从第三气源提供到所述歧管的第三气体替代所述歧管中的第一气体和第二气体中至少一种。
4.根据权利要求3所述的方法,其特征在于,进一步包含:
防止从所述歧管排出的第三气体进入所述前级管道;以及
在所述第三气体排出所述歧管时,继续导引所述第一气体或第二气体中至少一种进入所述前级管道。
5.根据权利要求4所述的方法,其特征在于,防止所述第三气体进入所述前级管道包括停止所述第三气体的流动或
将第三气体流入所述处理腔室。
6.根据权利要求4所述的方法,其特征在于,防止所述第三气体进入所述前级管道包含:
从所述前级管道断开一出口,其中所述第三气体经过该出口从所述歧管排出。
7.根据权利要求1所述的方法,其特征在于,进一步包含:
调整所述歧管中至少一个阀的操作状态,以获得从一个气源经过至少两个出口的流量的比率。
8.根据权利要求7所述的方法,其特征在于,调整所述歧管中至少一个阀的操作状态进一步包含:
感应从所述歧管排出的气体的度量;以及
调整所述歧管中至少一个阀的操作状态,以改变通过所述至少两个出口的流量的比率。
9.根据权利要求1所述的方法,其特征在于,进一步包含:
感应从所述歧管排出的气体的度量;以及
响应所感应到的度量而调整进入所述歧管的至少一种气体的流量、至少一个流量比率控制器、进入所述腔室的气体组分、或进入所述腔室的气体压力的其中之一。
10.根据权利要求1所述的方法,其特征在于,进一步包含:
选择所述歧管内的阀的操作状态,以使所述第一气体和第二气体中至少一种经过所述第四出口排出并进入校准管路。
11.一种控制至处理系统的气流的方法,其中该处理系统包括经过前级管道而耦接到设备排气装置的处理腔室,该方法包含:
提供具有至少第一、第二、第三和第四入口的歧管,所述第一、第二、第三和第四入口可选择性耦接到第一、第二、第三和第四出口中至少之一,其中所述第一出口通过包括隔离阀和净化管道的排放路径耦接至前级管道,所述第二和第三出口每一个通过各个最终阀连接到所述处理腔室,所述第二和第三出口每一个通过各个旁通阀连接到预流路径,并且所述预流路径包括:
所述净化管道上游的节流阀;和
所述前级管道上游的净化管道;
在处理或至所述校准管路之前,将一种或多种气体流过所述歧管至旁通所述处理腔室的预流路径,其中在所述预流路径中的所述节流阀被调整为使所述预流路径中的条件与所述处理腔室的条件匹配;以及
在衬底处理期间,将所述一种或多种气体流入所述处理腔室。
12.根据权利要求11所述的方法,其特征在于,将一种或多种气体流过所述歧管至所述预流路径进一步包含:
在将所述气流转向所述处理腔室之前,等待直到气体的流量满足预定的标准。
13.根据权利要求11所述的方法,其特征在于,预定标准为流速、压力或气体组分中的至少一种。
14.一种控制至包括处理腔室的处理系统的气流的方法,该方法包含:
将来自第一气源的第一气体流入具有至少第一出口、第二出口、第三出口和第四出口的歧管,其中至少所述第二和第三出口耦接到处理腔室,所述处理腔室通过前级管道耦接至排气管道,所述第一出口通过包括隔离阀和净化管道的排放路径耦接到所述前级管道,所述第二和第三出口每一个通过各个旁通阀连接到预流路径,并且所述预流路径包括:
所述净化管道上游的节流阀;和
所述前级管道上游的净化管道;
选择所述歧管内阀的操作状态,以使所述第一气体经过至少第二和第三出口同时排出;以及
使所述第一气体流过所述歧管的所述第二或第三出口中至少之一,并通过所述预流路径流入旁通所述处理腔室的前级管道中,直到获得所述歧管内的气体的预定状态,其中通过利用所述节流阀来使所述预流路径中的条件与所述处理腔室的条件匹配而获得所述预定状态。
15.根据权利要求14所述的方法,其特征在于,进一步包含:
感应表示所述第一气体的流量的度量;以及
响应所感应到的度量,控制在所述第一和第二出口之间的第一气体的流量的比率。
16.根据权利要求15所述的方法,其特征在于,所述感应进一步包含:
感应所述第一气体的每个支流的化学物质、压力或速度中至少一种。
17.根据权利要求14所述的方法,其特征在于,进一步包含:
使用所感应到的度量进行校验,从而使得所述支流满足预定标准。
CN2008100063306A 2007-02-26 2008-02-26 用于控制流到处理腔室的气流的方法和装置 Expired - Fee Related CN101256935B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/678,623 2007-02-26
US11/678,623 US7846497B2 (en) 2007-02-26 2007-02-26 Method and apparatus for controlling gas flow to a processing chamber

Publications (2)

Publication Number Publication Date
CN101256935A CN101256935A (zh) 2008-09-03
CN101256935B true CN101256935B (zh) 2011-08-17

Family

ID=39589491

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100063306A Expired - Fee Related CN101256935B (zh) 2007-02-26 2008-02-26 用于控制流到处理腔室的气流的方法和装置

Country Status (7)

Country Link
US (1) US7846497B2 (zh)
EP (1) EP1961838A1 (zh)
JP (1) JP5330709B2 (zh)
KR (1) KR100975441B1 (zh)
CN (1) CN101256935B (zh)
SG (1) SG145668A1 (zh)
TW (1) TW200846860A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11899477B2 (en) 2021-03-03 2024-02-13 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006253696A (ja) * 2005-03-10 2006-09-21 Asm America Inc ガスインジェクタ制御システム
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US8623141B2 (en) * 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
US8747762B2 (en) * 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
CN101989068B (zh) * 2010-11-05 2012-07-18 北京七星华创电子股份有限公司 基于质量流量控制器的模拟工艺系统和方法
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
US9175808B2 (en) * 2011-06-17 2015-11-03 Lam Research Corporation System and method for decreasing scrubber exhaust from gas delivery panels
WO2013002885A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
CN103177923B (zh) * 2011-12-20 2016-05-11 中微半导体设备(上海)有限公司 一种应用于等离子处理装置的气体分布系统及验证方法
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9410244B2 (en) * 2012-09-04 2016-08-09 Asm Ip Holding B.V. Semiconductor processing apparatus including a plurality of reactors, and method for providing the same with process gas
US20140137961A1 (en) * 2012-11-19 2014-05-22 Applied Materials, Inc. Modular chemical delivery system
US8893923B2 (en) * 2012-11-28 2014-11-25 Intermolecular, Inc. Methods and systems for dispensing different liquids for high productivity combinatorial processing
US9488315B2 (en) * 2013-03-15 2016-11-08 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US10161060B2 (en) 2013-12-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-supply system and method
US9632516B2 (en) * 2013-12-19 2017-04-25 Tawan Semiconductor Manufacturing Co., Ltd Gas-supply system and method
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
CN106025158B (zh) * 2015-03-27 2020-08-11 株式会社杰士汤浅国际 蓄电元件
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10269600B2 (en) * 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN108231620B (zh) * 2016-12-15 2021-01-19 中微半导体设备(上海)股份有限公司 一种气体流量控制装置及其气体流量控制方法
GB2557670B (en) * 2016-12-15 2020-04-15 Thermo Fisher Scient Bremen Gmbh Improved gas flow control
CN114797403A (zh) 2017-02-09 2022-07-29 应用材料公司 利用水蒸气和氧试剂的等离子体减量技术
CN109104875B (zh) * 2017-04-20 2021-07-02 株式会社V泰克斯 真空容器内压力多控制装置与真空容器内压力多控制方法
EP3688794B1 (en) 2017-09-26 2023-06-28 Lam Research Corporation Systems and methods for pulse width modulated dose control
CN111670265A (zh) 2018-01-31 2020-09-15 朗姆研究公司 用于多前体的歧管阀
AT521586B1 (de) * 2018-08-28 2020-12-15 Avl List Gmbh Gasmischvorrichtung zur Linearisierung oder Kalibrierung von Gasanalysatoren
CN109884255A (zh) * 2019-03-26 2019-06-14 翼捷安全设备(昆山)有限公司 高精度全自动配气系统及方法
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
TW202128273A (zh) * 2019-10-08 2021-08-01 荷蘭商Asm Ip私人控股有限公司 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法
JP7296854B2 (ja) * 2019-11-07 2023-06-23 東京エレクトロン株式会社 ガス供給方法及び基板処理装置
TW202309974A (zh) * 2021-05-21 2023-03-01 美商蘭姆研究公司 高深寬比3d nand架構中的鎢字元線填充
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5292788A (en) * 1976-01-30 1977-08-04 Standard Technology Gas diluting apparatus
US4887020A (en) * 1984-07-23 1989-12-12 U.S. Philips Corporation Self-compensating brushless alternator
US4590790A (en) * 1985-05-16 1986-05-27 American Meter Company Method for determining the accuracy of a gas measurement instrument
US4687020A (en) 1985-05-17 1987-08-18 Doyle James H Fluid mass flow controller
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JPH03156509A (ja) * 1989-11-14 1991-07-04 Stec Kk マスフローコントローラ
US5062446A (en) * 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5190058A (en) * 1991-05-22 1993-03-02 Medtronic, Inc. Method of using a temporary stent catheter
US5141021A (en) * 1991-09-06 1992-08-25 Stec Inc. Mass flow meter and mass flow controller
US5254210A (en) * 1992-04-27 1993-10-19 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for growing semiconductor heterostructures
US5303731A (en) * 1992-06-30 1994-04-19 Unit Instruments, Inc. Liquid flow controller
US5190068A (en) 1992-07-02 1993-03-02 Brian Philbin Control apparatus and method for controlling fluid flows and pressures
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5293778A (en) * 1993-05-27 1994-03-15 General Electric Company Fluid flow measuring system
WO1995019549A1 (en) * 1994-01-14 1995-07-20 Unit Instruments, Inc. Flow meter
US5524084A (en) 1994-12-30 1996-06-04 Hewlett-Packard Company Method and apparatus for improved flow and pressure measurement and control
US5653807A (en) * 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US5662143A (en) * 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5911238A (en) * 1996-10-04 1999-06-15 Emerson Electric Co. Thermal mass flowmeter and mass flow controller, flowmetering system and method
US5944048A (en) * 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
US6937366B2 (en) * 1996-12-26 2005-08-30 Canon Kabushiki Kaisha Data communication system
JPH10240356A (ja) * 1997-02-21 1998-09-11 Anelva Corp 基板処理装置の基板温度制御法と基板温度制御性判定法
KR100251645B1 (ko) * 1997-03-21 2000-04-15 윤종용 반도체 공정용 가스 평가장치에 결합되는 샘플가스 분배 장치 및 구동방법
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
US5966499A (en) * 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
KR100269315B1 (ko) * 1997-11-24 2000-11-01 윤종용 램프가열방식의매엽식장비를이용한반도체장치의제조방법
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6269692B1 (en) * 1999-02-01 2001-08-07 Dxl Usa Inc. Mass flow measuring assembly having low pressure drop and fast response time
KR100427563B1 (ko) * 1999-04-16 2004-04-27 가부시키가이샤 후지킨 병렬분류형 유체공급장치와, 이것에 사용하는 유체가변형압력식 유량제어방법 및 유체가변형 압력식 유량제어장치
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6733590B1 (en) * 1999-05-03 2004-05-11 Seagate Technology Llc. Method and apparatus for multilayer deposition utilizing a common beam source
US6119710A (en) * 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6343617B1 (en) * 1999-07-09 2002-02-05 Millipore Corporation System and method of operation of a digital mass flow controller
US6389364B1 (en) * 1999-07-10 2002-05-14 Mykrolis Corporation System and method for a digital mass flow controller
US6138708A (en) * 1999-07-28 2000-10-31 Controls Corporation Of America Mass flow controller having automatic pressure compensator
US6799603B1 (en) * 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
US6772781B2 (en) * 2000-02-04 2004-08-10 Air Liquide America, L.P. Apparatus and method for mixing gases
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
WO2002016885A1 (en) 2000-08-22 2002-02-28 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
DE10051973A1 (de) * 2000-10-20 2002-05-02 Bosch Gmbh Robert Mikromechanisches Bauelement
US6814096B2 (en) * 2000-12-15 2004-11-09 Nor-Cal Products, Inc. Pressure controller and method
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6439253B1 (en) 2000-12-28 2002-08-27 International Business Machines Corporation System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
KR20040024854A (ko) 2001-04-24 2004-03-22 셀레리티 그룹 아이엔씨 질량유량 제어장치를 위한 시스템 및 방법
JP4209688B2 (ja) * 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040025787A1 (en) 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US6810308B2 (en) * 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US7552015B2 (en) * 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
EP1523701A2 (en) * 2002-07-19 2005-04-20 Celerity Group, Inc. Methods and apparatus for pressure compensation in a mass flow controller
AU2003268315A1 (en) * 2002-08-28 2004-03-19 Horiba Stec, Inc. Higher accuracy pressure based flow controller
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) * 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163590A1 (en) * 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US20050120805A1 (en) * 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7408772B2 (en) * 2004-05-14 2008-08-05 Hewlett-Packard Development Company, L.P. Fan tray electronics enclosure
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11899477B2 (en) 2021-03-03 2024-02-13 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly

Also Published As

Publication number Publication date
SG145668A1 (en) 2008-09-29
US7846497B2 (en) 2010-12-07
KR100975441B1 (ko) 2010-08-11
JP2008211219A (ja) 2008-09-11
CN101256935A (zh) 2008-09-03
JP5330709B2 (ja) 2013-10-30
US20080202588A1 (en) 2008-08-28
TW200846860A (en) 2008-12-01
EP1961838A1 (en) 2008-08-27
KR20080079210A (ko) 2008-08-29

Similar Documents

Publication Publication Date Title
CN101256935B (zh) 用于控制流到处理腔室的气流的方法和装置
CN101256936B (zh) 用于控制流到处理腔室的气流的方法和装置
CN101256937B (zh) 用于控制流到处理腔室的气流的方法和装置
TWI443487B (zh) 多通道流量比例控制器系統及控制方法
CN104460706B (zh) 群集的质量流装置和包含该装置的多管线质量流装置
KR20140088040A (ko) 기판 프로세싱 챔버들에 대한 가스 공급 시스템들 및 그의 방법들
KR101632602B1 (ko) 반도체 제조 장치의 가스 분류 공급 장치
KR20130025863A (ko) 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들
KR20140144242A (ko) 다중―존 가스 피드들을 채용한 플라즈마 프로세싱 챔버들에서의 공유된 가스 패널들
KR20140098840A (ko) 반도체 제조 장치의 가스 분류 공급 장치
US20150075626A1 (en) Flow balancing in gas distribution networks
KR20050033841A (ko) 반도체 제조 장치 및 반도체 제조 방법
KR20190074930A (ko) 질량유량제어기
CN110021541A (zh) 动态冷却剂混合歧管
KR101245472B1 (ko) 가스 이송 장치 및 방법
JP2546520B2 (ja) 流量制御装置
CN220525747U (zh) 一种吹扫捕集的内标物添加装置
CN112928008A (zh) 气体供应系统及其气体输送方法、等离子体处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: APPLIED MATERIALS, Inc.

Address before: California, USA

Patentee before: APPLIED MATERIALS, Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110817

CF01 Termination of patent right due to non-payment of annual fee