CN101208456A - 包括β-双烯酮亚胺金属化合物的原子层沉积系统和方法 - Google Patents

包括β-双烯酮亚胺金属化合物的原子层沉积系统和方法 Download PDF

Info

Publication number
CN101208456A
CN101208456A CNA2006800232835A CN200680023283A CN101208456A CN 101208456 A CN101208456 A CN 101208456A CN A2006800232835 A CNA2006800232835 A CN A2006800232835A CN 200680023283 A CN200680023283 A CN 200680023283A CN 101208456 A CN101208456 A CN 101208456A
Authority
CN
China
Prior art keywords
substrate
formula
compound
group
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800232835A
Other languages
English (en)
Inventor
蒂莫西·A·奎克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101208456A publication Critical patent/CN101208456A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure

Abstract

本发明提供包括具有至少一个β-双烯酮亚胺配体的金属化合物的原子层沉积系统和方法。这些系统和方法可用于在衬底上沉积含金属层。

Description

包括β-双烯酮亚胺金属化合物的原子层沉积系统和方法
本申请案主张2005年6月28日申请的美国专利申请案第11/168,160号的优先权,其整个内容以引用的方式并入本文中。
技术领域
背景技术
集成电路装置逐步缩小已引起对在电容器和门中并入高介电常数材料的需要。寻找新颖的高介电常数材料和方法变得更加重要,因为目前技术的最小尺寸实际上因使用标准介电材料而受到限制。与常规介电材料材料相比,含有碱土金属的介电材料在电容方面可提供显著优势。举例来说,钙钛矿(perovskite)材料SrTiO3具有所揭示的高达500的体积介电常数(bulk dielectric constant)。
令人遗憾地,已证明难以成功地将碱土金属结合进气相沉积方法。举例来说,虽然已揭示碱土金属二酮化合物的原子层沉积(atomic layer deposition,ALD),但这些金属二酮化合物具有低挥发性,使得通常需要将其溶解于供液体喷射系统用的有机溶剂中。除低挥发性外,这些金属二酮化合物一般还具有不良反应性,使得通常需要高衬底温度和强氧化剂来使膜生长,这使得膜常常受碳污染。其他诸如包括取代或未取代环戊二烯基配体在内的碱土金属源通常具有不良挥发性以及低热稳定性,导致在衬底表面上产生所不希望的热解(pyrolysis)。
正在为新生代集成电路装置寻求并入高介电材料的新颖源和方法。
发明内容
本发明提供气相沉积法和系统,其包括至少一种下式(式I)化合物:
其中M选自由2族金属、3族金属、镧系金属和其组合组成的群组;L各自独立地为阴离子配体;Y各自独立地为中性配体;R1、R2、R3、R4和R5各自独立地为氢或有机基团;n表示金属的价态;z从0到10;且X从1到n。
一方面,本发明提供在衬底(例如,半导体衬底或衬底组件)上形成含金属层的方法。这种方法包括:提供衬底;提供包括至少一种下式(式I)化合物的蒸气:
Figure S2006800232835D00021
其中M、L、Y、R1、R2、R3、R4、R5、n、x和z如上文所述;提供至少一种反应气体;和使包括至少一种式I化合物的蒸气与衬底接触,使用包括多个沉积循环的原子层沉积方法在衬底的至少一个表面上形成含金属层。这一方法视情况进一步包括提供包括至少一种不同于式I的含金属化合物(例如,含Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg和/或Al化合物)的蒸气,和使所述包括至少一种不同于式I的含金属化合物的蒸气与衬底接触。
另一方面,本发明提供制造半导体结构的方法。这一方法包括:提供半导体衬底或衬底组件;提供包括至少一种下式(式I)化合物的蒸气:
Figure S2006800232835D00022
其中M、L、Y、R1、R2、R3、R4、R5、n、x和z如以上所述;提供包括至少一种不同于式I的含金属化合物的蒸气;和把包括至少一种式I化合物的蒸气和包括至少一种不同于式I的含金属化合物的蒸气引导到半导体衬底或衬底组件,使用包括多个沉积循环的原子层沉积方法在半导体衬底或衬底组件的至少一个表面上形成含金属层。一些实施例中,在原子层沉积方法过程中,含金属层是通过在各沉积循环过程中交替引入包括至少一种式I化合物的蒸气和包括至少一种不同于式I的含金属化合物的蒸气来形成。
另一方面,本发明提供制造半导体结构的方法。这一方法包括:在原子层沉积室中提供半导体衬底或衬底组件;提供包括至少一种下式(式I)化合物的蒸气:
Figure S2006800232835D00031
其中M、L、Y、R1、R2、R3、R4、R5、n、x和z如以上所述;提供包括至少一种不同于式I的含金属化合物的蒸气;把包括至少一种式I化合物的蒸气引导到半导体衬底或衬底组件并使至少一种化合物化学吸附于半导体衬底或衬底组件的至少一个表面上;和把包括至少一种不同于式I的含金属化合物的蒸气引导到半导体衬底或衬底组件并使至少一种不同于式I化合物化学吸附于半导体衬底或衬底组件的至少一个表面上,以在半导体衬底或衬底组件的至少一个表面上形成含金属层。在某些实施例中,将把包括至少一种式I化合物的蒸气引导到半导体衬底或衬底组件和把包括至少一种不同于式I的含金属化合物的蒸气引导到半导体衬底或衬底组件重复至少一次。
另一方面,本发明提供制造存储器件结构的方法。这一方法包括:提供其上具有第一电极的衬底;提供包括至少一种下式(式I)化合物的蒸气:
Figure S2006800232835D00032
其中M、L、Y、R1、R2、R3、R4、R5、n、x和z如以上所述;使包括至少一种式I化合物的蒸气与衬底接触以使化合物化学吸附于衬底的第一电极上;提供至少一种反应气体;使至少一种反应气体与其上具有化学吸附化合物的衬底接触以在衬底的第一电极上形成介电层;和在介电层上形成第二电极。
另一方面,本发明提供原子层气相沉积系统,其包括:衬底位于其中的沉积室;和至少一个包括至少一种下式(式I)化合物的容器:
Figure S2006800232835D00041
其中M、L、Y、R1、R2、R3、R4、R5、n、x和z如以上所述。
包括β-双烯酮亚胺配体的含金属化合物可有利地用于原子层沉积方法中来沉积(例如)高介电膜。另外,可沉积陶瓷涂层用于铁电、压电和/或耐热涂层应用。
定义
如本文所用,使用如下类型的化学式:
Figure S2006800232835D00042
表示与金属配位的具有离域电子密度的戊二烯基型配体(例如,β-双烯酮亚胺配体)。配体可通过1、2、3、4和/或5个原子(即,η1-、η2-、η3-、η4-和/或η5-配位模式)与金属配位。
如本文所使用,“一”、“这一”和“至少一个(种)”互换使用且意指一个(种)或一个(种)以上。
如本文所使用,术语“沉积方法”和“气相沉积方法”指由包括一种或一种以上含金属化合物的气化前驱体组合物在衬底(例如,掺杂多晶硅晶片)的一个或多个表面上形成含金属层的方法。确切来说,使一种或一种以上含金属化合物气化,且把其引导到位于沉积室中的衬底(例如,半导体衬底或衬底组件)的一个或多个表面和/或使其与所述表面接触。通常会加热衬底。这些含金属化合物在衬底的表面上形成(例如,通过反应或分解)非挥发性含金属均匀薄层。出于本发明的目的,术语“气相沉积方法”旨在包括化学气相沉积方法(包括脉冲化学气相沉积方法)和原子层沉积方法。
如本文所使用,术语“原子层沉积”(ALD)指在加工室(即,沉积室)中进行沉积循环、优选多个连续沉积循环的气相沉积方法。通常,各循环过程中,前驱体化学吸附于沉积表面(例如,衬底组件表面或诸如先前ALD循环的材料的先前沉积下伏表面)上,从而形成不容易与其他前驱体反应的单层或亚单层(即,自限性反应(self-limitingreaction))。此后,必要时,可接着将反应物(例如,另一前驱体或反应气体)引入加工室中以用于使化学吸附前驱体转化为沉积表面上合乎需要的材料。通常,这一反应物能够与前驱体进一步反应。另外,各循环过程中也可利用吹扫步骤在化学吸附前驱体转化后从加工室中去除过量的前驱体和/或从加工室中去除过量的反应物和/或反应副产物。另外,如本文所使用,当用前驱体组合物、反应性气体和吹扫(例如,惰性载剂)气体交替脉冲进行“原子层沉积”时,术语“原子层沉积”也旨在包括由相关术语指定的方法,这些术语诸如“化学蒸气原子层沉积”、“原子层外延”(atomic layer epitaxy,ALE)(参考Ackerman的美国专利第5,256,244号)、分子束外延(molecular beam epitaxy,MBE)、气源MBE或有机金属MBE和化学光束外延。
与一个循环的化学气相沉积(chemical vapor deposition,CVD)方法相比,更长持续时间的多循环ALD方法能够通过自限性层生长改进对层厚度和组成的控制并通过分离反应组分使有害气相反应最小化。ALD的自限性质提供在包括具有不规则形貌的表面在内的任何合适反应表面上沉积膜的方法,其具有比由CVD或诸如蒸镀或物理气相沉积(physical vapor deposition,PVD或溅镀)的其他“瞄准线(line of sight)”沉积法可获得的阶梯覆盖(step coverage)好的阶梯覆盖。
附图说明
图1是适于在本发明的方法中使用的气相沉积系统的透视图。
图2是使用本发明的系统和方法形成的示范性电容器构造。
具体实施方式
本发明提供使用原子层沉积在衬底上形成含金属层的方法和系统。这些方法包括提供包括至少一种β-双烯酮亚胺配体的至少一种含金属化合物的蒸气。在一些实施例中,金属化合物是包括可为对称或不对称的β-双烯酮亚胺配体的均配型配合物(即,金属仅与一种类型的配体结合的配合物)。在其他实施例中,金属化合物为包括至少一种可为对称或不对称的β-双烯酮亚胺配体的混配型配合物(即,金属与多于一种类型的配体结合的配合物)。在一些实施例中,β-双烯酮亚胺配体可呈η5-配位模式。
包括一种或一种以上β-双烯酮亚胺配体的合适含金属化合物包括下式(式I)化合物:
Figure S2006800232835D00061
M为2族金属(例如,Ca、Sr、Ba)、3族金属(例如,Sc、Y、La)、镧系金属(例如,Pr、Nd)或其组合。M优选为Ca、Sr或Ba。L各自独立地为阴离子配体;Y各自独立地为中性配体;n表示金属的价态;z从0到10;且x从1到n。
R1、R2、R3、R4和R5各自独立地为氢或有机基团(例如,烷基,且优选为(例如)烷基部分)。在某些实施例中,R1、R2、R3、R4和R5各自独立地为氢或具有1到10个碳原子的有机基团(例如,甲基、乙基、丙基、异丙基、丁基、仲丁基、叔丁基)。这些化合物包括(例如)以下文献中所述的化合物:El-Kaderi等人,Organometallics,23:4995-5002(2004)和2005年6月28日申请的美国申请案第11/169,082号(标题为″UNSYMMETRICAL LIGAND SOURCES,REDUCED SYMMETRYMETAL-CONTAINING COMPOUNDS,AND SYSTEMS AND METHODS INCLUDINGSAME″)。
在某些实施例中,式I所示的β-双烯酮亚胺配体是对称的(即,R1=R5且R2=R4)。在一些实施例中,R2=R4=甲基。在一些实施例中,R3=H。在一些实施例中,R1=R5=异丙基。在一些实施例中,R1=R5=叔丁基。这些示范性式I化合物包括其中R2=R4=甲基,R3=H且R1=R5=异丙基的化合物;和其中R2=R4=甲基,R3=H且R1=R5=叔丁基的化合物。
在其他某些实施例中,式I所示的β-双烯酮亚胺配体是不对称的(即,应用一个或多个下列情形:R1不同于R5或R2不同于R4)。在一些实施例中,R2=R4=甲基。在一些实施例中,R3=H。在一些实施例中,R1=异丙基且R5=叔丁基。这些示范性式I化合物为其中R2=R4=甲基,R3=H,R1=异丙基且R5=叔丁基的化合物。
L表示多种可选阴离子配体。示范性阴离子配体(L)包括卤离子(halide)、烷醇基(alkoxide group)、酰胺基、硫醇基(mercaptide group)、氰离子(cyanide)、烷基、脒基、胍基、异脲基(isoureate group)、β-二酮基(β-diketonate group)、β-亚氨基酮基(β-iminoketonate group)、β-双烯酮亚胺基(β-diketiminate group)和其组合。在某些实施例中,L为结构与式I所示的β-双烯酮亚胺配体相同的β-双烯酮亚胺基。在其他某些实施例中,L为结构与式I所示的β-双烯酮亚胺配体不同的β-双烯酮亚胺基(例如,对称或不对称)。
Y表示可选中性配体。示范性中性配体(Y)包括羰基(CO)、亚硝酰基(NO)、氨(NH3)、胺(NR3)、氮(N2)、膦(PR3)、醇(ROH)、水(H2O)、四氢呋喃和其组合,其中R各自独立地表示氢或有机基团。可选中性配体(Y)的数目由z表示,其从0到10,且优选从0到3。更优选地,Y不存在(即,z=0)。
如本文所使用,出于本发明的目的,术语“有机基团”意指烃基,可分为脂族基、环基或脂族基和环基的组合(例如,烷芳基和芳烷基)。在本发明的情况下,本发明的含金属化合物的合适有机基团为那些不干扰使用气相沉积技术形成金属氧化物层的有机基团。在本发明的情况下,术语“脂族基”意指饱和或不饱和直链或支链烃基。使用这一术语涵盖(例如)烷基、烯基和炔基。术语“烷基”意指饱和直链或支链单价烃基,包括(例如)甲基、乙基、正丙基、异丙基、叔丁基、戊基、庚基等。术语“烯基”意指具有一个或多个烯系不饱和基团(即,碳碳双键)的不饱和直链或支链单价烃基,诸如乙烯基。术语“炔基”意指具有一个或多个碳碳三键的不饱和直链或支链单价烃基。术语“环基”意指闭环烃基,分为脂环基、芳基或杂环基。术语“脂环基”意指性质类似于脂族基的环烃基。术语“芳基(aromatic group或aryl group)”意指单或多核芳香族烃基。术语“杂环基”意指环中一个或多个原子是除碳以外的元素(例如,氮、氧、硫等)的闭环烃。
为简化本申请案通篇所使用的某些术语的讨论和评述,使用术语“基团”和“部分”来区分允许取代或可能被取代的化学物质和那些不如此允许取代或可能不如此被取代的化学物质。因此,当使用术语“基团”描述化学取代基时,所述的化学物质包括未取代基团和在链中具有(例如)非过氧化(nonperoxidic)O、N、S、Si或F原子的基团以及羰基或其他常规取代基。当使用术语“部分”描述化合物或取代基时,仅旨在包括未取代化学物质。举例来说,短语“烷基”旨在不仅包括纯开链饱和烃烷基取代基,诸如甲基、乙基、丙基、叔丁基等,而且也包括具有所属领域已知的其他取代基的烷基取代基,所述其他取代基诸如羟基、烷氧基、烷基磺酰基、卤原子、氰基、硝基、氨基、羧基等。因此,“烷基”包括醚基、卤烷基、硝烷基、羧烷基、羟烷基、磺烷基等。另一方面,短语“烷基部分”仅限于包括纯开链饱和烃烷基取代基,诸如甲基、乙基、丙基、叔丁基等。
包括具有至少一个β-双烯酮亚胺配体的含金属化合物的前驱体组合物可适用于使用原子层沉积法沉积含金属层。另外,这些原子层沉积方法也可包括包含一种或一种以上不同含金属化合物的前驱体组合物。(例如)在下文更详细讨论的ALD方法中,这些前驱体组合物可与包括具有至少一个β-双烯酮亚胺配体的含金属化合物的前驱体组合物大体上同时或相继沉积/化学吸附。这些不同含金属化合物的金属可包括(例如)Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al和其组合。合适的不同含金属化合物包括(例如)四异丙醇钛、四氯化钛、三氯(二烷基酰胺)钛(trichlorotitanium dialkylamide)、四(二烷基酰胺)钛(tetrakis titanium dialkylamide)、四(二烷基酰胺)铪(tetrakis hafniumdialkylamide)、三甲基铝、氯化锆(IV)、五乙醇钽和其组合。
含金属层可沉积在(例如)衬底(例如,半导体衬底或衬底组件)上。如本文所使用,术语“衬底”、“半导体衬底”或“衬底组件”指衬底或半导体衬底,诸如基底半导体层或其上形成一个或多个层、结构或区的半导体衬底。基底半导体层通常为晶片上的硅材料最底层或沉积在另一材料上的硅层(诸如硅-蓝宝石)。当提及衬底组件时,之前可能已使用各种加工步骤形成或界定多个区、接合处、各种结构或特征和开口,诸如,晶体管、有效区域、扩散、注入区、通道、接触开口、高长径比开口、电容器极板、电容器阻挡层等。
如本文所使用,“层”指任何可根据本文所述的沉积方法由一种或一种以上前驱体和/或反应物在衬底上形成的层。术语“层”旨在包括半导体工业特定的层,诸如(但显然不限于)阻挡层、介电层(即,具有高介电常数的层)和导电层。术语“层”与半导体工业中通常使用的术语“膜”同义。术语“层”也旨在包括半导体技术以外的技术中可见的层,诸如玻璃上的涂层。举例来说,这些层可以直接形成在作为半导体衬底以外的衬底的纤维、电线等上。另外,所述层可以直接形成在衬底的最底层半导体表面上,或者可形成在(例如)图案化晶片中的各种层的任何层(例如,表面)上。
所形成的层或膜可呈含金属膜形式,诸如被还原的金属、金属硅酸盐、金属氧化物、金属氮化物等以及其组合。举例来说,金属氧化物层可包括单一金属,金属氧化物层可包括两种或两种以上不同金属(即,其为混合金属氧化物),或金属氧化物层可视情况掺杂有其他金属。
如果金属氧化物层包括两种或两种以上不同金属,那么金属氧化物层可呈合金、固体溶液或纳米层状体形式。这些层优选具有介电性质。金属氧化物层(尤其,当为介电层时)优选包括一种或一种以上的下列物质:BaTiO3、SrTiO3、CaTiO3、(Ba,Sr)TiO3、SrTa2O6、SrBi2Ta2O9(SBT)、SrHfO3、SrZrO3、BaHfO3、BaZrO3、(Pb,Ba)Nb2O6、(Sr,Ba)Nb2O6、Pb[(Sc,Nb)0.575Ti0.425]O3(PSNT)、La2O3、Y2O3、LaAlO3、YAlO3、Pr2O3、Ba(Li,Nb)1/4O3-PbTiO3和Ba(0.6)Sr(0.4)TiO3-MgO。令人惊讶地,根据本发明形成的金属氧化物层基本上不含碳。优选地,由本发明的系统和方法形成的金属氧化物层基本上不含碳、氢、卤化物、磷、硫、氮或其化合物。如本文所使用,将“基本上不含”定义成意思是含金属层可能包括少量上述杂质。例如,对金属氧化物层来说,“基本上不含”意指上述杂质以小于1原子百分比的量存在,如此其对膜的化学性质、机械性能、实体形态(例如,结晶度)或电性能产生较小的影响。
各种含金属化合物可以以不同组合使用,视情况与一种或一种以上有机溶剂(尤其对CVD方法来说)组合使用来形成前驱体组合物。有利地,本文所揭示的一些含金属化合物可在不添加溶剂的情况下用于ALD中。如本文所使用,“前驱体”和“前驱体组合物”指可用于在沉积方法中单独或与其他前驱体组合物(或反应物)一起在衬底组件上形成层的组合物。另外,所属领域的技术人员应认识到,所用前驱体的类型和量应取决于使用气相沉积方法最终将形成的层的含量。本发明的优选前驱体组合物在蒸发温度下优选为液体,且更优选地,在室温下优选为液体。
前驱体组合物在室温下可为液体或固体(优选地,在蒸发温度下为液体)。通常,它们是具有足够挥发性以利用已知气相沉积技术使用的液体。然而,作为固体,也可以具有足够挥发性,使其可使用已知气相沉积技术从固态气化或升华。如果是挥发性较小的固体,那么它们优选在有机溶剂中足够可溶或者具有低于其分解温度的熔点,使得其可用于闪蒸、鼓泡、微滴形成等技术中。
此处,气化含金属化合物可单独使用或视情况与(如果使用)其他含金属化合物的气化分子或视情况与(如果使用)气化溶剂分子或惰性气体分子一起使用。如本文所使用,“液体”指溶液或纯液体(在室温下为液体;或在室温下为固体,而在高温下熔化)。如本文所使用,“溶液”不需要固体完全溶解而是可允许部分固体不溶,只要存在足量由有机溶剂传送到气相中以用于化学气相沉积方法的固体即可。如果沉积中使用溶剂稀释液,那么所产生的总摩尔浓度的溶剂蒸气也可视为惰性载气。
如本文所使用,“惰性气体”或“非反应性气体”是任何通常不与所接触的组分反应的气体。举例来说,惰性气体通常选自包括以下各物的群组:氮气、氩气、氦气、氖气、氪气、氙气、任何其他非反应性气体和其混合物。这些惰性气体通常用于本发明所述的一种或一种以上吹扫方法中,并且在一些实施例中也可用于辅助前驱体蒸气输送。
适于本发明的某些实施例的溶剂可为一种或一种以上的下列物质:脂族烃或不饱和烃(C3-C20,且优选C5-C10,环状、支链或直链)、芳烃(C5-C20,且优选C5-C10)、卤代烃、诸如烷基硅烷、烷基硅酸盐的硅烷化烃、醚、聚醚、硫醚、酯、内酯、腈、硅油或含任何上述物质的组合的化合物或一种或一种以上上述物质的混合物。同时,化合物通常彼此相容,使得不同量的含金属化合物的混合物不应相互作用以显著改变其物理性质。
本发明的前驱体组合物可视情况与(存在时)一种或一种以上反应气体大体上同时气化并沉积/化学吸附。或者,含金属层可通过在各沉积循环过程中交替引入前驱体组合物和反应气体来形成。这些反应气体通常可包括氧气、水蒸气、臭氧、氮氧化物、硫氧化物、氢气、硫化氢、硒化氢、碲化氢、过氧化氢、氨气、有机胺、肼类(例如,肼、甲肼、对称和不对称二甲肼)、硅烷、二硅烷和高级硅烷、二硼烷、等离子体、空气、硼氮烯(borazene)(氮源)、一氧化碳(还原剂)、醇和这些气体的任何组合。举例来说,通常使用含氧源沉积金属氧化物层。形成金属氧化物层中所使用的优选可选反应气体包括氧化性气体(例如,氧气、臭氧和一氧化氮)。
本发明的合适衬底材料包括导电材料、半导体材料、导电金属氮化物、导电金属、导电金属氧化物等。其上形成含金属层的衬底优选为半导体衬底或衬底组件。涵盖多种半导体材料,例如,硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG);硅,诸如导电性掺杂多晶硅、单晶硅等(对本发明来说,硅的适当形式简称为“硅”),例如呈硅晶片形式;正硅酸乙酯(tetraethylorthosilicate,TEOS)氧化物;旋涂玻璃(即,视情况被掺杂的SiO2薄层,通过旋涂方法沉积);TiN;TaN;W;Ru;Al;Cu;贵金属等。衬底组件也可含有包括以下各物的层:铂、铱、氧化铱、铑、钌、氧化钌、钌酸锶、镍酸镧、氮化钛、氮化钽、钽-硅氮化物、二氧化硅、铝、砷化镓、玻璃等和其他半导体构造中所使用的现有或待开发的材料,所述半导体构造诸如动态随机存取存储(dynamic randomaccess memory,DRAM)器件、静态随机存取存储(static random access memory,SRAM)器件和铁电存储(ferroelectric memory,FERAM)器件。
对包括半导体衬底或衬底组件在内的衬底来说,所述层可直接形成在衬底的最底层半导体表面上或者可形成在(例如)图案化晶片的多种层的任何层(即,表面)上。
在本发明的方法中也可使用除半导体衬底或衬底组件以外的衬底。可使用其上可有利形成诸如金属氧化物层的含金属层的任何衬底,这些衬底包括(例如)纤维、电线等。
前驱体组合物可(须要时)在存在惰性载气的情况下气化。另外,惰性载气可用于ALD方法的吹扫步骤中(下文讨论)。惰性载气通常为一种或一种以上的氮气、氦气、氩气等。在本发明的情况下,惰性载气为不干扰含金属层形成的气体。无论是在存在还是不存在惰性载气的情况下进行,气化优选在不存在氧气的情况下进行以避免层被氧气污染(例如,使硅氧化以形成二氧化硅,或在进入沉积室之前使气相前驱体氧化)。
化学气相沉积(CVD)和原子层沉积(ALD)是两种通常用于在半导体衬底上形成连续的含金属均匀薄层的气相沉积方法。使用任一种气相沉积方法时,通常使一种或一种以上前驱体组合物在沉积室中气化并视情况与一种或一种以上反应气体组合且引导到衬底和/或使其与衬底接触从而在衬底上形成含金属层。对所属领域的技术人员而言应显而易见,气相沉积方法可通过使用多种相关技术来增强,诸如等离子体辅助、光辅助、激光辅助以及其他技术。
典型的CVD法可在化学气相沉积反应器中进行,化学气相沉积反应器诸如可以商品名称7000从Genus,Inc.(Sunnyvale,CA)获得的沉积室、可以商品名称5000从AppliedMaterials Inc.(Santa Clara,CA)获得的沉积室或可以商品名称Prism从Novelus,Inc.(SanJose,CA)获得的沉积室。然而,可使用任何适于进行CVD的沉积室。
优选地,本发明的方法中所使用的气相沉积方法为多循环原子层沉积(ALD)方法。这种方法是有利的,尤其优于CVD方法,因为其通过提供多个自限性沉积循环改进对沉积层(例如,介电层)的原子级厚度和均匀性的控制。ALD的自限性质提供在多种包括(例如)具有不规则形貌的表面在内的反应表面上沉积膜的方法,其具有比由CVD或其他“瞄准线”沉积法(例如,蒸发和物理气相沉积,即,PVD或溅镀)可获得的阶梯覆盖好的阶梯覆盖。另外,ALD方法通常将含金属化合物暴露在更低挥发性和反应温度下,与(例如)典型CVD方法相比,此倾向于降低前驱体的降解。
通常,在ALD方法中,各反应物在通常至少25℃、优选至少150℃且更优选至少200℃的沉积温度下相继脉冲输送到合适衬底上。典型的ALD沉积温度不超过400℃,优选不超过350℃且甚至更优选不超过250℃。这些温度通常比CVD方法中目前所使用的温度低,CVD方法通常包括在衬底表面处至少150℃、优选至少200℃且更优选至少250℃的沉积温度。典型的CVD沉积温度不超过600℃,优选不超过500℃,且甚至更优选不超过400℃。
在这些条件下,ALD的膜生长通常具有自限性(即,当表面上的反应部位在ALD方法中用完时,沉积通常停止),从而确保极佳的保形性以及良好的大面积均匀性和简单且精确的组成与厚度控制。由于交替给予前驱体组合物和/或反应气体,使得与通过使前驱体和/或反应气体连续共反应进行的CVD方法对比,自动地消除了有害的气相反应。(参考,Vehkamaki等人,″Growth of SrTiO3 and BaTiO3 Thin Films by Atomic LayerDeposition,″Electrochemical and Solid-State Letters,2(10):504-506(1999))。
典型的ALD方法包括将衬底(可视情况用(例如)水和/或臭氧预处理)暴露于第一种化学品中以实现使物质化学吸附于衬底上。如本文所使用,术语“化学吸附”指气化反应性含金属化合物化学吸附于衬底的表面上。由于具有与普通化学键强度可比的以高吸附能(例如,>30kcal/mol)为特征的相对较强结合力,使得吸附物质通常不可逆地与衬底表面结合。化学吸附物质通常在衬底表面上形成单层。(参考,″The CondensedChemical Dictionary″,第10版,由G G.Hawley修订,由Van Nostrand Reinhold Co.出版,New York,225(1981))。ALD技术是基于通过化学吸附形成反应性前驱体分子的饱和单层的原理。在ALD中,将一种或一种以上适当的前驱体组合物或反应气体交替引入(例如,用脉冲)沉积室中并使其化学吸附于衬底的表面上。反应性化合物(例如,一种或一种以上前驱体组合物和一种或一种以上反应气体)的各相继引入通常隔着惰性载气吹扫。各前驱体组合物共反应向先前沉积的层上添加新原子层从而形成累积固体层。重复循环以逐步形成合乎需要的层厚度。应了解,ALD可交替使用一种用于化学吸附的前驱体组合物和一种与所化学吸附的物质反应的反应气体。
实际上,化学吸附可能不发生在沉积表面(例如,先前沉积的ALD材料)的所有部分。然而,在本发明的情况下,仍将这一不完整的单层视为单层。在许多应用中,仅大体上饱和的单层可能是合适的。一方面,大体上饱和的单层是仍能产生展示合乎需要的品质和/或性质的沉积单层或较少材料的单层。另一方面,大体上饱和的单层是自限于与前驱体进一步反应的单层。
典型的ALD方法包括将初始衬底暴露于第一种化学物质A(例如,如本文所述的含金属化合物)以实现使物质化学吸附于衬底上。物质A可与衬底表面或物质B(如下所述)反应,但自身不反应。通常,在化学吸附中,物质A的一个或多个配体被衬底表面上的反应基团置换。理论上,化学吸附在整个暴露后的初始衬底上形成均匀的一个原子或分子厚的单层,所述单层由物质A、少量任何置换配体组成。换句话说,饱和单层大体上形成于衬底表面上。实际上,化学吸附可能不会发生在衬底的所有部分上。然而,在本发明上下文中,仍将这一部分单层理解为单层。在许多应用中,仅大体上饱和的单层可能是合适的。大体上饱和的单层是仍能产生展示这一层所需的品质和/或性质的沉积层的单层。
从衬底上吹扫去第一种物质(例如,大体上物质A的所有未化学吸附分子)以及置换配体,且提供第二种化学物质(亦即物质B)(例如,不同的含金属化合物或反应性气体)以与物质A的单层反应。物质B通常从物质A单层置换剩余配体并因而被化学吸附并形成第二单层。这个第二单层展示仅与物质A反应的表面。然后吹扫未化学吸附物质B以及置换配体和反应的其他副产物,并且在将物质B单层暴露于气化物质A的情况下重复以上步骤。视情况,第二种物质可与第一种物质反应,但不将其他材料化学吸附在上面。也就是说,第二种物质可裂解一部分化学吸附的第一种物质,改变这种单层而不在其上形成另一单层,但留下可供形成后续单层用的活性部位。在其他ALD方法中,可正如针对第一种和第二种物质所述,依次化学吸附(或反应)和吹扫第三种物质或三种以上的物质,条件是各引入物质与刚在其引入之前产生的单层反应。视情况,若需要,第二种物质(或第三种或后续物质)可包括至少一种反应气体。
因此,使用ALD提供了改进对衬底上含金属层的厚度、组成和均匀性控制的能力。举例来说,在多个循环中沉积含金属化合物的薄层提供对最终膜厚度的更精确控制。当把前驱体组合物引导到衬底并使其化学吸附于衬底上时,这尤其有利,优选进一步包括至少一种与衬底上化学吸附物质反应的反应气体,且甚至更优选,其中将这一循环重复至少一次。
沉积/化学吸附于衬底上后,对各物质过量蒸气的吹扫可包括多种技术,包括(但不限于)使衬底和/或单层与惰性载气接触和/或将压力降低到沉积压力以下以降低接触衬底和/或化学吸附物质的物质浓度。如以上所讨论,载气实例可包括N2、Ar、He等。另外,吹扫可改为包括使衬底和/或单层与任何能使化学吸附副产物解吸附且在引入另一物质之前使接触物质的浓度降低的物质接触。可将接触物质减少到所属领域的技术人员已知的基于特殊沉积方法产物规格的某合适浓度或分压。通常将ALD描述为自限性方法,因为衬底上存在有限数目可供与第一种物质形成化学键的位点。第二种物质可能仅与化学吸附第一种物质所形成的表面反应,且因此也可具有自限性。一旦衬底上的有限数目位点全部与第一种物质结合,那么第一种物质将不与已与衬底结合的第一种物质的其余部分结合。然而,在ALD中可改变方法条件来促进这种结合且使ALD不自限,例如,类似于脉冲CVD。因此,ALD也可涵盖通过堆叠物质形成超过一个原子或分子厚度的层而一次形成不为一个单层的物质。
所述方法指示化学吸附第一种前驱体过程中“大体不存在”第二种前驱体(即,第二种物质),因为可能存在可忽略的量的第二种前驱体。根据所属领域的技术人员的知识和偏好,可确定第二种前驱体的可容许量和为实现大体不存在第二种前驱体而选择的方法条件。
因此,ALD方法过程中,在沉积室中进行多个连续沉积循环,各循环沉积极薄的含金属层(通常小于一个单层,使得每个循环的平均生长速率为0.2埃到3.0埃)直到在所关注的衬底上形成具有合乎需要厚度的层。层沉积是通过如下步骤实现:在多个沉积循环中,将前驱体组合物交替引入(即,用脉冲)含衬底的沉积室,使前驱体组合物作为单层化学吸附于衬底表面上,吹扫沉积室,然后向化学吸附前驱体组合物引入反应气体和/或其他前驱体组合物,直到实现含金属层的合乎需要的厚度。本发明的含金属层的优选厚度为至少1埃(),更优选至少5,且更优选至少10。另外,优选膜厚度通常不超过500,更优选不超过400,且更优选不超过300。
前驱体组合物和惰性载气的脉冲持续时间通常为足以使衬底表面饱和的持续时间。通常,脉冲持续时间为至少0.1秒,优选至少0.2秒且更优选至少0.5秒。优选脉冲持续时间通常不超过5秒且优选不超过3秒。
与主要由热驱动的CVD相比,ALD主要由化学驱动。因此,ALD可有利地在比CVD低得多的温度下进行。ALD方法过程中,衬底温度可维持在足够低以维持化学吸附前驱体组合物与下伏衬底表面之间的完整键且防止前驱体组合物分解的温度下。另一方面,温度必须足够高以避免前驱体组合物冷凝。通常将衬底保持在至少25℃、优选至少150℃且更优选至少200℃的温度下。通常将衬底保持在不超过400℃、优选不超过300℃且更优选不超过250℃的温度下,如以上所讨论,这一温度通常低于目前在典型CVD方法中所使用的温度。因此,第一种物质或前驱体组合物在这一温度下发生化学吸附。第二种物质或前驱体组合物的表面反应可在大体上与第一种前驱体的化学吸附相同的温度下发生,或视情况但不太优选在大体上不同的温度下发生。显然,如所属领域的技术的人员所判断,在温度上可发生某些小变化,但因提供与第一种前驱体化学吸附温度下应发生的统计上相同的反应速率,而仍被视为大体上相同的温度。或者,化学吸附和后续反应可改为在大体上精确相同的温度下发生。
对典型的气相沉积方法来说,沉积室内部的压力为至少10-8托(1.3×10-6Pa),优选至少10-7托(1.3×10-5Pa)且更优选至少10-6托(1.3×10-4Pa)。另外,沉积压力通常不超过10托(1.3×103Pa),优选不超过1托(1.3×102Pa)且更优选不超过10-1托(13Pa)。通常,对各循环来说,在将气化前驱体组合物引入室中和/或反应后,用惰性载气吹扫沉积室。各循环过程中,惰性载气/气体也可与气化前驱体组合物一起引入。
前驱体组合物的反应性可显著影响ALD的方法参数。在典型的CVD方法条件下,高反应性化合物可以气相反应而生成颗粒物,提前沉积在不想要的表面上,产生不良膜和/或产生不良阶梯覆盖或以其他方式产生不均匀沉积。出于至少这个原因,可认为高反应性化合物不适合CVD。然而,一些不适合CVD的化合物是优良ALD前驱体。举例来说,如果第一种前驱体与第二种前驱体气相反应,那么化合物的组合可能不适合CVD,但可用于ALD。在CVD的情况下,如所属领域的技术人员所知,当使用高气相反应性前驱体时,在粘着系数和表面迁移率方面也可能存在问题,然而,在ALD的情况下,将几乎不存在或不存在这种问题。
在衬底上形成层之后,可视情况就地在沉积室中在还原性惰性等离子体或氧化气氛中进行退火过程。优选,退火温度为至少400℃,更优选至少600℃。退火温度优选不超过1000℃,更优选不超过750℃且甚至更优选不超过700℃。
退火工序优选进行至少0.5分钟的时间,更优选至少1分钟的时间。另外,退火工序优选进行不超过60分钟的时间且更优选不超过10分钟的时间。
所属领域的技术人员应认识到这些温度和时间可变化。举例来说,可使用炉退火和快速热退火,而且,这些退火可以一个或多个退火步骤进行。
如上所述,使用本发明的化合物和形成膜的方法有利于半导体结构、尤其那些使用高介电材料的半导体结构中的多种薄膜应用。举例来说,这些应用包括门介电质和电容器,诸如平面单元、沟槽单元(例如,双侧壁沟槽电容器)、叠层单元(例如,冠状、V型单元、Δ单元、多指形或圆筒形容器叠层电容器)以及场效应晶体管器件。
图1显示可用于进行本发明的原子层沉积方法的系统。系统包括封闭气相沉积室10,其中可使用涡轮泵12和前级泵14形成真空。将一个或多个衬底16(例如,半导体衬底或衬底组件)放入室10中。为衬底16建立恒定标称温度,其可视所使用的方法而变化。衬底16可(例如)通过衬底16所安装在的电阻加热器18加热。也可使用加热衬底的其他已知方法。
在这一方法中,将如本文所述的前驱体组合物60和/或61存放在容器62中。使前驱体组合物气化且使用(例如)惰性载气68沿管线64和66分开送入沉积室10中。需要时,可沿管线72供应反应气体70。同时,需要时,可沿管线76供应通常与惰性载气68相同的吹扫气体74。如图所示,根据需要,打开和关闭一系列阀门80-85。
图2显示如在示范性电容器构造中所用本发明含金属层的ALD形成实例。参考图2,电容器构造200包括具有形成于其中的导电扩散区域215的衬底210。衬底210可包括(例如)硅。在衬底210上方提供诸如BPSG的绝缘层260,其中向扩散区域215提供接触开口280。导电材料290填充触点开口280,且可包括(例如)钨或导电性掺杂多晶硅。电容器构造200包括第一电容器电极(下电极)220、可由本发明方法形成的介电层240和第二电容器电极(上电极)250。
应了解,图2是示范性构造,且本发明的方法可适用于在任何衬底上、优选在半导体结构上形成层,且这些应用包括电容器,诸如平面单元、沟槽单元(例如,双侧壁沟槽电容器)、叠层单元(例如,冠状、V型单元、Δ单元、多指形或圆筒形容器叠层电容器)以及场效应晶体管器件。
此外,扩散阻挡层可视情况形成于介电层240上方,且可(例如)包括TiN、TaN、金属硅化物或金属硅化物-氮化物。虽然将扩散阻挡层描述为独特层,但应了解阻挡层可包括导电材料,且因此,在这些实施例中,可理解为包括至少一部分电容器电极。在某些包括扩散阻挡层的实施例中,电容器电极整体可包括导电阻挡层材料。
提供以下实例来进一步说明本发明的各种特定实施例和技术。然而,应了解,在本发明的范围内,所属领域的技术人员可做出许多变化和修改。因此,本发明的范围不旨在受以下实例限制。除非另有说明,否则实例中所示的所有百分率都是重量百分率。
实例
实例1:原子层沉积式I含金属化合物(M=Sr(n=2);R1=R5=叔丁基;R2=R4=甲基;R3=H;x=2;且z=0)以形成氧化锶层。
在205℃的衬底温度和10-4托(1.3×10-2Pa)的压力下,通过ALD使用上述含锶化合物(鼓泡器温度:127℃;鼓泡器管线温度:154℃)和臭氧(O3:100标准立方厘米/分钟(sccm),11%,氧气中)的交替脉冲在裸硅上沉积氧化锶层。各循环包括2秒给予锶前驱体,30秒排空,1秒给予臭氧和60秒排空。使用218个循环沉积膜,产生厚度为约250的氧化锶层。
实例2:原子层沉积式I含金属化合物(M=Sr(n=2);R1=R5=叔丁基;R2=R4=甲基;R3=H;x=2;且z=0)以形成钛酸锶层。
在200℃的衬底温度和10-4托(1.3×10-2Pa)的压力下,通过ALD使用水(鼓泡器温度:周围温度;鼓泡器管线温度:50℃)、四异丙醇钛(鼓泡器温度:60℃;鼓泡器管线温度:112℃)、臭氧(O3:100sccm,11%,氧气中)和上述含锶化合物(鼓泡器温度:127℃;鼓泡器管线温度:137℃)的交替脉冲在物理气相沉积(PVD或溅镀)的铂衬底上沉积钛酸锶层。各钛工序包括0.5秒给予水,15秒排空,1秒给予钛前驱体和15秒排空。各锶工序包括5秒给予臭氧,30秒排空,2秒给予锶前驱体和30秒排空。各循环包括2次钛工序和1次锶工序。使用250个循环沉积膜,产生厚度为约250的钛酸锶层。
实例3:原子层沉积式I含金属化合物(M=Sr(n=2);R1=R5=异丙基;R2=R4=甲基;R3=H;x=2;且z=0)以形成钛酸锶层。
在208℃的衬底温度和10-4托(1.3×10-2Pa)的压力下,通过ALD使用臭氧(O3:450sccm,11%,氧气中)、四异丙醇钛(鼓泡器温度:60℃;鼓泡器管线温度:110℃)、臭氧(O3:450sccm,11%,氧气中)和上述含锶化合物(鼓泡器温度:105℃;鼓泡器管线温度:123℃)的交替脉冲在PVD铂衬底上沉积钛酸锶层。各钛工序包括5秒给予臭氧,30秒排空,1秒给予钛前驱体和15秒排空。各锶工序包括5秒给予臭氧,30秒排空,2秒给予锶前驱体和30秒排空。各循环包括1次钛工序和2次锶工序。使用100个循环沉积膜,产生厚度为约50的钛酸锶层,经分析其含有约29%的Sr和12%的Ti。
实例4:原子层沉积式I含金属化合物(M=Sr(n=2);R1=R5=异丙基;R2=R4=甲基;R3=H;x=2;且z=0)以形成钛酸锶层。
在212-215℃的衬底温度和10-4托(1.3×10-2Pa)的压力下,通过ALD使用臭氧(O3:450sccm,11%,氧气中)、四异丙醇钛(鼓泡器温度:60℃;鼓泡器管线温度:110℃)、臭氧(O3:450sccm,11%,氧气中)和上述含锶化合物(鼓泡器温度:105℃;鼓泡器管线温度:137℃)的交替脉冲在PVD铂衬底上沉积钛酸锶层。各钛工序包括5秒给予臭氧,30秒排空,1秒给予钛前驱体和15秒排空。各锶工序包括5秒给予臭氧,30秒排空,2秒给予锶前驱体和30秒排空。各循环包括2次钛工序和1次锶工序。使用250个循环沉积膜,产生厚度为约100的钛酸锶层,经分析其含有约19%的Sr和21%的Ti。
实例5:原子层沉积式I含金属化合物(M=Sr(n=2);R1=R5=叔丁基;R2=R4=甲基;R3=H;x=2;且z=0)以形成钛酸锶层。
在200℃的衬底温度和10-4托(1.3×10-2Pa)的压力下,通过ALD使用臭氧(O3:450sccm,11%,氧气中)、四异丙醇钛(鼓泡器温度:60℃;鼓泡器管线温度:90℃)、臭氧(O3:450sccm,11%,氧气中)和上述含锶化合物(鼓泡器温度:136℃;鼓泡器管线温度:153℃)的交替脉冲在PVD铂衬底上沉积钛酸锶层。各钛工序包括5秒给予臭氧,30秒排空,1秒给予钛前驱体和15秒排空。各锶工序包括5秒给予臭氧,30秒排空,2秒给予锶前驱体和30秒排空。各循环包括2次钛工序和1次锶工序。使用250个循环沉积膜,产生厚度为约200的钛酸锶层,经分析其含有约21%的Sr和19%的Ti。
实例6:原子层沉积式I含金属化合物(M=Sr(n=2);R1=R5=叔丁基;R2=R4=甲基;R3=H;x=2;且z=0)以形成钛酸锶层。
在220℃的衬底温度和10-4托(1.3×10-2Pa)的压力下,通过ALD使用臭氧(O3:450sccm,11%,氧气中)、四异丙醇钛(鼓泡器温度:60℃;鼓泡器管线温度:95℃)、臭氧(O3:450sccm,11%,氧气中)和上述含锶化合物(鼓泡器温度:136℃;鼓泡器管线温度:151℃)的交替脉冲在PVD铂衬底上沉积钛酸锶层。各钛工序包括5秒给予臭氧,30秒排空,1秒给予钛前驱体和15秒排空。各锶工序包括5秒给予臭氧,30秒排空,2秒给予锶前驱体和30秒排空。各循环包括1次钛工序和1次锶工序。使用300个循环沉积膜,产生厚度为约200的钛酸锶层,经分析其含有约29%的Sr和12%的Ti。
本文所引用的专利、专利文献和公开案的全部揭示内容其整体以引用的方式并入,如同各自个别地并入。在不脱离本发明的范围和精神的情况下,本发明的各种修改和变化对所属领域的技术人员来说应变得显而易见。应了解,本发明不希望过度地受本文所述的说明性实施例和实例限制,且这些实例和实施例仅是作为实例呈现,而本发明的范围仅受本文所附的权利要求书限制。

Claims (51)

1.一种在衬底上形成含金属层的方法,所述方法包含:
提供衬底;
提供包含至少一种下式(式I)化合物的蒸气:
其中:
M选自由2族金属、3族金属、镧系金属和其组合组成的群组;
L各自独立地为阴离子配体;
Y各自独立地为中性配体;
n表示所述金属的价态;
z从0到10;
x从1到n;且
R1、R2、R3、R4和R5各自独立地为氢或有机基团;
提供至少一种反应气体;和
使所述包含至少一种式I化合物的蒸气与所述衬底接触,使用包含多个沉积循环的原子层沉积方法在所述衬底的至少一个表面上形成含金属层。
2.根据权利要求1所述的方法,其中R1、R2、R3、R4和R5各自独立地为氢或具有1到10个碳原子的有机基团。
3.根据权利要求1所述的方法,其中R1=R5且R2=R4
4.根据权利要求3所述的方法,其中R1=R5=异丙基。
5.根据权利要求3所述的方法,其中R1=R5=叔丁基。
6.根据权利要求3所述的方法,其中R2=R4=甲基且R3=H。
7.根据权利要求6所述的方法,其中R1=R5=异丙基。
8.根据权利要求6所述的方法,其中R1=R5=叔丁基。
9.根据权利要求1所述的方法,其中应用一个或多个下列情形:R1不同于R5,或R2不同于R4
10.根据权利要求9所述的方法,其中R1=异丙基,且R5=叔丁基。
11.根据权利要求9所述的方法,其中R2=R4=甲基且R3=H。
12.根据权利要求11所述的方法,其中R1=异丙基,且R5=叔丁基。
13.根据权利要求1所述的方法,其中至少一个L独立地选自由以下各物组成的群组:卤离子(halide)、烷醇基(alkoxide group)、酰胺基、硫醇基(mercaptide group)、氰离子(cyanide)、烷基、脒基、胍基、异脲基(isoureate group)、β-二酮基(β-diketonategroup)、β-亚氨基酮基(β-iminoketonate group)、β-双烯酮亚胺基(β-diketiminategroup)和其组合。
14.根据权利要求13所述的方法,其中所述至少一个L为结构与式I所示β-双烯酮亚胺配体相同的β-双烯酮亚胺基。
15.根据权利要求13所述的方法,其中所述至少一个L为结构与式I所示β-双烯酮亚胺配体不同的β-双烯酮亚胺基。
16.根据权利要求1所述的方法,其中至少一个Y选自由以下各物组成的群组:羰基、亚硝酰基、氨、胺、氮、膦、醇、水、四氢呋喃和其组合。
17.根据权利要求1所述的方法,其中所述至少一种反应气体选自由以下各物组成的群组:氧气、水蒸汽、臭氧、醇、氮氧化物、硫氧化物、氢气、硫化氢、硒化氢、碲化氢、过氧化氢、氨气、有机胺、硅烷、二硅烷、高级硅烷、二硼烷、等离子体、空气和其组合。
18.根据权利要求1所述的方法,其进一步包含提供包含至少一种不同于式I的含金属化合物的蒸气;和使所述包含至少一种不同于式I的含金属化合物的蒸气与所述衬底接触。
19.根据权利要求18所述的方法,其中所述至少一种不同于式I的含金属化合物的金属选自由Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al和其组合组成的群组。
20.根据权利要求1所述的方法,其中所述含金属层选自由以下各物组成的群组:BaTiO3、SrTiO3、CaTiO3、(Ba,Sr)TiO3、SrTa2O6、SrBi2Ta2O9(SBT)、SrHfO3、SrZrO3、BaHfO3、BaZrO3、(Pb,Ba)Nb2O6、(Sr,Ba)Nb2O6、Pb[(Sc,Nb)0.575Ti0.425]O3(PSNT)、La2O3、Y2O3、LaAlO3、YAlO3、Pr2O3、Ba(Li,Nb)1/4O3-PbTiO3、Ba(0.6)Sr(0.4)TiO3-MgO和其组合。
21.一种制造半导体结构的方法,所述方法包含:
提供半导体衬底或衬底组件;
提供包含至少一种下式(式I)化合物的蒸气:
Figure S2006800232835C00031
其中:
M选自由2族金属、3族金属、镧系金属和其组合组成的群组;
L各自独立地为阴离子配体;
Y各自独立地为中性配体;
n表示所述金属的价态;
z从0到10;
x从1到n;且
R1、R2、R3、R4和R5各自独立地为氢或有机基团;
提供包含至少一种不同于式I的含金属化合物的蒸气;和
把所述包含至少一种式I化合物的蒸气和所述包含至少一种不同于式I的含金属化合物的蒸气引导到所述半导体衬底或衬底组件,使用包含多个沉积循环的原子层沉积方法在所述半导体衬底或衬底组件的至少一个表面上形成含金属层。
22.根据权利要求21所述的方法,其中R1、R2、R3、R4和R5各自独立地为氢或具有1到10个碳原子的有机基团。
23.根据权利要求21所述的方法,其进一步包含提供至少一种反应气体。
24.根据权利要求23所述的方法,其中所述至少一种反应气体选自由以下各物组成的群组:氧气、水蒸汽、臭氧、醇、氮氧化物、硫氧化物、氢气、硫化氢、硒化氢、碲化氢、过氧化氢、氨气、有机胺、硅烷、二硅烷、高级硅烷、二硼烷、等离子体、空气和其组合。
25.根据权利要求23所述的方法,其中所述至少一种反应气体选自由臭氧和氧气组成的群组。
26.根据权利要求21所述的方法,其中所述含金属层为金属氧化物层。
27.根据权利要求21所述的方法,其中所述含金属层的厚度为1到500。
28.根据权利要求21所述的方法,其中所述含金属层为介电层。
29.根据权利要求21所述的方法,其中所述至少一种不同于式I的含金属化合物的金属选自由Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al和其组合组成的群组。
30.根据权利要求29所述的方法,其中所述含金属层选自由以下各物组成的群组:BaTiO3、SrTiO3、CaTiO3、(Ba,Sr)TiO3、SrTa2O6、SrBi2Ta2O9(SBT)、SrHfO3、SrZrO3、BaHfO3、BaZrO3、(Pb,Ba)Nb2O6、(Sr,Ba)Nb2O6、Ba(Li,Nb)1/4O3-PbTiO3、Ba(0.6)Sr(0.4)TiO3-MgO和其组合。
31.根据权利要求21所述的方法,其中在原子层沉积方法期间,所述含金属层是通过在各沉积循环期间交替引入所述包含至少一种式I化合物的蒸气和所述包含至少一种不同于式I的含金属化合物的蒸气来形成。
32.一种制造半导体结构的方法,所述方法包含:
在原子层沉积室中提供半导体衬底或衬底组件;
提供包含至少一种下式(式I)化合物的蒸气:
Figure S2006800232835C00041
其中:
M选自由2族金属、3族金属、镧系金属和其组合组成的群组;
L各自独立地为阴离子配体;
Y各自独立地为中性配体;
n表示所述金属的价态;
z从0到10;
x从1到n;且
R1、R2、R3、R4和R5各自独立地为氢或有机基团;
提供包含至少一种不同于式I的含金属化合物的蒸气;
把所述包含至少一种式I化合物的蒸气引导到所述半导体衬底或衬底组件,并使所述至少一种化合物化学吸附于所述半导体衬底或衬底组件的至少一个表面上;和
把所述包含至少一种不同于式I的含金属化合物的蒸气引导到所述半导体衬底或衬底组件,并使所述至少一种不同于式I的化合物化学吸附于所述半导体衬底或衬底组件的至少一个表面上,以在所述半导体衬底或衬底组件的至少一个表面上形成含金属层。
33.根据权利要求32所述的方法,其中R1、R2、R3、R4和R5各自独立地为氢或具有1到10个碳原子的有机基团。
34.根据权利要求32所述的方法,其进一步包含提供至少一种反应气体。
35.根据权利要求32所述的方法,其中将把所述包含至少一种式I化合物的蒸气引导到所述半导体衬底或衬底组件和把所述包含至少一种不同于式I的含金属化合物的蒸气引导到所述半导体衬底或衬底组件重复至少一次。
36.根据权利要求32所述的方法,其中所述半导体衬底或衬底组件的温度为25℃到400℃。
37.根据权利要求32所述的方法,其中所述含有所述半导体衬底或衬底组件的原子层沉积室的压力为10-8托(1.3×10-6Pa)到10托(1.3×103Pa)。
38.根据权利要求32所述的方法,其进一步包含在所述化合物化学吸附于所述半导体衬底或衬底组件上后,从所述沉积室中吹扫去包含所述至少一种式I化合物的过量蒸气。
39.根据权利要求38所述的方法,其中吹扫包含用惰性气体吹扫。
40.根据权利要求39所述的方法,其中所述惰性气体选自由氮气、氦气、氩气和其混合物组成的群组。
41.一种制造存储器件结构的方法,所述方法包含:
提供其上具有第一电极的衬底;
提供包含至少一种下式(式I)化合物的蒸气:
其中:
M选自由2族金属、3族金属、镧系金属和其组合组成的群组;
L各自独立地为阴离子配体;
Y各自独立地为中性配体;
n表示所述金属的价态;
z从0到10;
x从1到n;且
R1、R2、R3、R4和R5各自独立地为氢或有机基团;
使所述包含至少一种式I化合物的蒸气与所述衬底接触以使所述化合物化学吸附于所述衬底的第一电极上;
提供至少一种反应气体;
使所述至少一种反应气体与所述其上具有化学吸附化合物的衬底接触以在所述衬底的第一电极上形成介电层;和
在所述介电层上形成第二电极。
42.根据权利要求41所述的方法,其中R1、R2、R3、R4和R5各自独立地为氢或具有1到10个碳原子的有机基团。
43.根据权利要求41所述的方法,其中所述包含至少一种式I化合物的蒸气进一步包含非反应气体。
44.根据权利要求43所述的方法,其中所述非反应气体选自由氮气、氦气、氩气和其混合物组成的群组。
45.根据权利要求41所述的方法,其进一步包含提供包含至少一种不同于式I的含金属化合物的蒸气;和使所述包含至少一种不同于式I的含金属化合物的蒸气与所述衬底接触。
46.一种原子层气相沉积系统,其包含:
沉积室,其具有位于其中的衬底;和
至少一个容器,其包含至少一种下式(式I)化合物:
其中:
M选自由2族金属、3族金属、镧系金属和其组合组成的群组;
L各自独立地为阴离子配体;
Y各自独立地为中性配体;
n表示所述金属的价态;
z从0到10;
x从1到n;且
R1、R2、R3、R4和R5各自独立地为氢或有机基团。
47.根据权利要求46所述的系统,其中R1、R2、R3、R4和R5各自独立地为氢或具有1到10个碳原子的有机基团。
48.根据权利要求46所述的系统,其进一步包含至少一个至少一种反应气体源。
49.根据权利要求46所述的系统,其进一步包含至少一种惰性气体源。
50.根据权利要求46所述的系统,其进一步包含至少一个包含至少一种不同于式I的含金属化合物的容器。
51.根据权利要求50所述的系统,其中所述至少一种不同于式I的含金属化合物的金属选自由Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al和其组合组成的群组。
CNA2006800232835A 2005-06-28 2006-06-27 包括β-双烯酮亚胺金属化合物的原子层沉积系统和方法 Pending CN101208456A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/168,160 US7416994B2 (en) 2005-06-28 2005-06-28 Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US11/168,160 2005-06-28

Publications (1)

Publication Number Publication Date
CN101208456A true CN101208456A (zh) 2008-06-25

Family

ID=37338887

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800232835A Pending CN101208456A (zh) 2005-06-28 2006-06-27 包括β-双烯酮亚胺金属化合物的原子层沉积系统和方法

Country Status (8)

Country Link
US (3) US7416994B2 (zh)
EP (2) EP2290126B1 (zh)
JP (1) JP5003978B2 (zh)
KR (1) KR101274330B1 (zh)
CN (1) CN101208456A (zh)
AT (1) ATE509134T1 (zh)
TW (1) TWI398543B (zh)
WO (1) WO2007002672A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109402608A (zh) * 2017-08-16 2019-03-01 北京北方华创微电子装备有限公司 一种原子层沉积设备的气路系统及其控制方法
CN112406704A (zh) * 2019-08-22 2021-02-26 美光科技公司 具有基于交通工具传感器的自动变焦的虚拟镜

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US7572731B2 (en) * 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7416994B2 (en) * 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7439338B2 (en) * 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
DE102005033579A1 (de) * 2005-07-19 2007-01-25 H.C. Starck Gmbh Verfahren zur Herstellung dünner Hafnium- oder Zirkonnitrid-Schichten
JP4708905B2 (ja) * 2005-08-05 2011-06-22 イビデン株式会社 薄膜エンベディッドキャパシタンス、その製造方法、及びプリント配線板
TW200831694A (en) * 2007-01-17 2008-08-01 Advanced Tech Materials Precursor compositions for ALD/CVD of group II ruthenate thin films
US7892964B2 (en) * 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
JP5248025B2 (ja) * 2007-03-01 2013-07-31 東京エレクトロン株式会社 SrTiO3膜の成膜方法およびコンピュータ読取可能な記憶媒体
WO2009012341A2 (en) * 2007-07-16 2009-01-22 Advancaed Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
US8679913B2 (en) * 2007-09-04 2014-03-25 Tokyo Electron Limited Method for Sr—Ti—O-based film formation
WO2009086263A1 (en) * 2007-12-28 2009-07-09 Sigma-Aldrich Co. Methods for preparing thin films using substituted pyrrolyl-metal precursors
US20100003532A1 (en) * 2008-06-06 2010-01-07 Feist Benjamin J Beta-diketiminate precursors for metal containing film deposition
US8471049B2 (en) * 2008-12-10 2013-06-25 Air Product And Chemicals, Inc. Precursors for depositing group 4 metal-containing films
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8663735B2 (en) * 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
US8003521B2 (en) * 2009-04-07 2011-08-23 Micron Technology, Inc. Semiconductor processing
US7939442B2 (en) * 2009-04-10 2011-05-10 Micron Technology, Inc. Strontium ruthenium oxide interface
US8697486B2 (en) 2009-04-15 2014-04-15 Micro Technology, Inc. Methods of forming phase change materials and methods of forming phase change memory circuitry
JP2012124322A (ja) * 2010-12-08 2012-06-28 Elpida Memory Inc 半導体記憶装置の製造方法
WO2012138332A1 (en) * 2011-04-06 2012-10-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hafnium-containing or zirconium-containing precursors for vapor deposition
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
KR102168174B1 (ko) 2014-03-19 2020-10-20 삼성전자주식회사 니켈 화합물 및 이를 이용한 박막 형성 방법
KR102282139B1 (ko) * 2015-05-12 2021-07-28 삼성전자주식회사 반도체 장치
US11047042B2 (en) 2015-05-27 2021-06-29 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358407B2 (en) * 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4202889C2 (de) 1992-02-01 1994-12-15 Solvay Deutschland Verfahren zur Abscheidung von ein Metall der ersten Übergangsmetallreihe oder Aluminium enthaltenden Schichten und 1,3-Diketiminato-Metall-Verbindungen
US5256244A (en) * 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
KR100418581B1 (ko) 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
KR100418569B1 (ko) 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
JP2003209317A (ja) * 2002-01-11 2003-07-25 Oki Electric Ind Co Ltd 半導体レーザモジュール
KR20040077733A (ko) 2002-01-18 2004-09-06 이 아이 듀폰 디 네모아 앤드 캄파니 원자층 증착에 의한 구리막 증착용 휘발성 구리(ⅱ) 착물
GB0201141D0 (en) 2002-01-18 2002-03-06 Ic Innovations Ltd Coordination complex
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
US20040247905A1 (en) 2003-04-16 2004-12-09 Bradley Alexander Zak Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
US20050227007A1 (en) * 2004-04-08 2005-10-13 Bradley Alexander Z Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
JP2007511902A (ja) * 2003-10-29 2007-05-10 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7439338B2 (en) * 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US7572731B2 (en) * 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109402608A (zh) * 2017-08-16 2019-03-01 北京北方华创微电子装备有限公司 一种原子层沉积设备的气路系统及其控制方法
CN109402608B (zh) * 2017-08-16 2020-12-08 北京北方华创微电子装备有限公司 一种原子层沉积设备的气路系统及其控制方法
CN112406704A (zh) * 2019-08-22 2021-02-26 美光科技公司 具有基于交通工具传感器的自动变焦的虚拟镜

Also Published As

Publication number Publication date
EP2290126B1 (en) 2014-02-12
WO2007002672A3 (en) 2007-02-22
EP1907600B1 (en) 2011-05-11
US20100186668A1 (en) 2010-07-29
KR101274330B1 (ko) 2013-06-13
WO2007002672A9 (en) 2008-09-18
US20080280455A1 (en) 2008-11-13
US7709399B2 (en) 2010-05-04
US20060292841A1 (en) 2006-12-28
KR20080021709A (ko) 2008-03-07
ATE509134T1 (de) 2011-05-15
US8188464B2 (en) 2012-05-29
EP2290126A2 (en) 2011-03-02
TWI398543B (zh) 2013-06-11
WO2007002672A2 (en) 2007-01-04
EP1907600A2 (en) 2008-04-09
EP2290126A3 (en) 2011-10-12
JP2008545277A (ja) 2008-12-11
TW200704814A (en) 2007-02-01
JP5003978B2 (ja) 2012-08-22
US7416994B2 (en) 2008-08-26

Similar Documents

Publication Publication Date Title
CN101208456A (zh) 包括β-双烯酮亚胺金属化合物的原子层沉积系统和方法
US7410918B2 (en) Systems and methods for forming metal oxides using alcohols
US6958300B2 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7253122B2 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US6984592B2 (en) Systems and methods for forming metal-doped alumina
CN101595244B (zh) 在衬底上形成含金属层的气相沉积方法
JP5181292B2 (ja) 非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法
US8557339B2 (en) Method for the deposition of a Ruthenium containing film
CN101208784A (zh) β-双烯酮亚胺配体源和其含金属化合物,以及包括其的系统和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20080625