CN101022894A - 减小氧气抑制液体固化的聚合技术以及用于该技术的组合物 - Google Patents

减小氧气抑制液体固化的聚合技术以及用于该技术的组合物 Download PDF

Info

Publication number
CN101022894A
CN101022894A CNA2005800314031A CN200580031403A CN101022894A CN 101022894 A CN101022894 A CN 101022894A CN A2005800314031 A CNA2005800314031 A CN A2005800314031A CN 200580031403 A CN200580031403 A CN 200580031403A CN 101022894 A CN101022894 A CN 101022894A
Authority
CN
China
Prior art keywords
amido
free radical
morpholino
radical
generation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800314031A
Other languages
English (en)
Inventor
F·Y·徐
E·B·弗莱彻
P·B·拉德
M·P·C·瓦茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN101022894A publication Critical patent/CN101022894A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/02Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a matt or rough surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61PSPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
    • A61P31/00Antiinfectives, i.e. antibiotics, antiseptics, chemotherapeutics
    • A61P31/04Antibacterial agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Abstract

本发明包括一种在基片上使可聚合液体固化来形成膜的方法,该方法的特征是将所述可聚合液体周围的大气中所含的氧气对聚合过程的抑制作用减至最小。为此,所述可聚合的液体中除了其它组分以外,还包含引发剂,所述引发剂能够消耗掉与可聚合液体相互反应的氧气,并产生另外的自由基,以促进聚合过程。

Description

减小氧气抑制液体固化的聚合技术以及用于该技术的组合物
关于联邦政府资助的研究或开发的声明
美国政府在本发明中具有已缴费许可,另外根据美国国家标准学会(National Institute of Standard)(NIST)ATP奖授予的70NANB4H3012合同条件,美国政府在限定的情况下具有要求专利权所有人以合理的条件许可他人使用本发明的权利。
发明背景
本发明的领域一般涉及结构的微型制造。更具体来说,本发明涉及适用于压印光刻(imprint lithography)的聚合技术。
微型制造包括制造极小的结构,例如这些结构可具有约数微米或更小的结构特征。微型制造具有相当大影响的一个领域是在集成电路加工。由于半导体加工工业在增加基片上每单位面积所形成的电路的数量的同时,还致力于提高生产率,因此微型制造正在变得越来越重要。微型制造提供了更高程度的过程控制,同时使得能够更大程度地减小形成的结构的最小特征尺寸。其它的已经应用微型制造的正在发展的领域包括生物技术、光学技术、机械系统等。
一种示例性的微型制造技术常被称为压印光刻,在许多公开出版物中进行了详细描述,例如参见美国公开的专利申请第2004/0065976号,其名为METHOD AND A MOLD TO ARRANGE FEATURES ON A SUBSTRATE TOREPLICATE FEATURES HAVING MINIMAL DIMENSIONAL VARIABILITY;第2004/0065252号,其名为METHOD OF FORMING A LAYER ON ASUBSTRATE TO FACILITATE FABRICATION OF METROLOGYSTANDARDS;以及美国专利第6,936,194号,其名为METHOD AND A MOLDTO ARRANGE FEATURES ON A SUBSTRATE TO REPLICATE FEATURESHAVING MINIMAL DIMENSIONAL VARIABILITY,这些专利和专利申请均转让给本发明的受让人。如上面各公开的专利申请所述,基本的压印光刻技术包括在可聚合的层中形成浮雕图案,将此浮雕图像转移到下面的基片,在结构中形成浮雕图像。为此,使用与基片隔开的模板,在模板和基片之间设有可成形的液体。该液体固化形成其中记录有图案的固化层,该图案与同该液体接触的模板表面的形状相一致。然后对基片和固化的层进行处理,将与固化层中的图案相一致的浮雕结构转移到基片中。
一种将所述可聚合的液体置于所述模板和基片之间的方法是将多滴液体沉积在基片上。然后使可聚合液体与模板相接触,使可聚合液体在基片表面上铺展开来,然后将图案记录在其中。在使可聚合液体在基片上铺展开来的时候,非常需要防止夹带空气之类的气体。
因此人们需要提供一种在基片上形成流体层,同时使其中夹带的气体量最少的方法。
发明内容
本发明包括一种使可聚合液体固化,在基片上形成膜的方法,其特征是将所述可聚合液体周围大气中所含的氧气对聚合过程的抑制减至最小。为此,所述可聚合液体特别包含引发剂或添加剂,这些引发剂或添加剂能够消耗掉与可聚合液体相互作用的氧气,还会另外产生自由基,以促进聚合过程。具体来说,所述方法包括通过使可聚合液体受到光化辐射,产生初级自由基,引发多个分子连接起来。通过部分的所述初级自由基与所述液体周围的气氛中的分子相互作用,生成次级自由基。通过多个分子与所述次级自由基相互反应,产生三级自由基,将多个分子中的另外的分子连接起来。在下文中将更详细地讨论这些实施方式以及其它实施方式。
附图简述
图1是根据本发明的的光刻系统的透视图;
图2是图1所示的光刻系统简化的正视图,该系统用来根据本发明的一个实施方式产生具有图案的压印层;
图3是图2所示的基片的一个区域的俯视图,使用在其上置有可聚合液体的液滴的图案,其上形成了图案;
图4是根据本发明形成了图案之后,如图1所示,压印装置与所述形成了图案的压印层隔开的简化正视图;
图5是基片一定区域的俯视图,如图4所示,显示图4所示的可聚合流体液滴在铺展过程中形成的中间图案;
图6是受到紫外辐射之后,由可聚合材料形成的层的俯视图;
图7是可根据本发明使用的底涂层的截面图;
图8是显示施涂到平面化模上的脱模层的截面图。
发明详述
图1显示了根据本发明一个实施方式的光刻系统10,该系统10包括一对隔开的桥式支架12,在桥式支架12之间延伸着桥接件(brige)14和平台支座(stagesupport)16。桥接件14和平台支座16间隔开。而一压印头18与桥接件14连接并从桥接件14向平台支座16延伸。运动平台20位于平台支座16上,面对压印头18。且运动平台20被构造成可相对于平台支座16沿X和Y轴线运动,还可沿Z轴运动。辐射源22与系统10连接,将光化辐射照射在运动平台20上。如图所示,辐射源22与桥接件14连接,并包括与辐射源22连接的发电机23。
参见图1和图2,其上具有模具26的模板24与压印头18相连,该模板24可限定出其中形成有图案的光滑的或平坦的表面。如图所示,模具26包括由多个间隔开的凹部28和突起30形成的多个特征部分的图案。凸起30具有宽度W1,凹部28具有宽度W2,这两种宽度都是沿与Z轴横切的方向测量的。这多个特征部分限定出了初始图案,形成要转移到位于运动平台20上的基片32的图案的基准。为此,压印头18适合沿Z轴运动,以及改变模具26和基片32之间的距离“d”。或者,运动平台20使模板24沿Z轴运动,或者运动平台20使模板24和压印头18一起沿Z轴运动。通过这种方式,可以将模具26上的特征压印入基片32的可流动区域,这将在下文中详细讨论。
辐射源22的设置方式使得模具26位于辐射源22和基片32之间,辐射源22产生的光化辐射传送通过模具26。因此,需要模具26由对光化辐射基本透明的材料制成。根据所用的光化辐射,可用来制造模具26的材料的例子包括熔凝硅石、石英、硅、有机聚合物、硅氧烷聚合物、硼硅酸盐玻璃、碳氟聚合物、金属以及上述材料的组合。一种示例性的系统是购自Molecular Imprints,Inc.的商品名为IMPRIO 100TM的系统,该公司的地址为1807-C Braker Lane,Suite 100,Austin,Texas 78758。对IMPRIO 100TM的系统描述可参见 www.molecularimprints.com.
参见图2和图3,在一部分表面36(表示朝向模具26的表面的基本光滑(如果不是平坦的)轮廓)上形成可流动区,例如压印层34。在本发明一个实施方式中,所述可流动区以多个隔开的离散的压印材料液滴38的形式沉积在基片32上。具体来说,液滴38以图案100的形式排列在表面36上,当液滴38的压印材料合并起来,在表面36上形成连续层时(在图4中更清楚地显示为记录的图案134),将夹带的气体减至最少。
参见图2和图4,可以使压印材料选择性地聚合和交联,在其中记录下初始图案的反像,形成记录下的图案134,然后如下文所述进行固化。图中显示模具26上的多个特征为沿着与凸起30平行的方向延伸的凹部28,使模具26的横截面具有城垛的形状。但是凹部28和凸起30实际上可具有任何所需的特征,最小可达数十纳米:例如用来促进形成集成电路的特征。
参见图2和图5,记录下的图案134部分是通过压印材料与模具26的相互作用(例如机械接触、电接触等)形成的。在一个示例性的实施方式中,减小了距离“d”,使得压印层34与模具26机械接触。相应地,液滴38中的压印材料铺展开来,形成一系列中间图案(其中之一显示为图案200),在表面36上形成压印材料的邻接造型。在一个实施方式中,减小距离“d”,使得记录下的图案134的子部分46进入并填充凹部28。可能需要在接触之前使用例如氦气流,以5磅/平方英寸(psi)的压力对模具26与表面36和液滴38之间的空间进行吹扫。示例性的吹扫技术可参见2003年10月2日提交的名为“SINGLE PHASE FLUIDIMPRINT LITHOGRAPHY METHOD”的美国专利申请第10/677,639号。
在本发明中,当已经达到所需的、通常是最小的距离“d”时,记录的图案134中与凸起30相重叠的子部分48保留下来,留下具有厚度t1的子部分46和具有厚度t2的子部分48,厚度t2被称为残余厚度。厚度“t1”和“t2”可以根据应用,是任意所需的厚度。液滴38中所含的总体积应能够将延伸超过与模具26相重叠的表面36的区域的压印材料的量减至最小,或者避免压印材料延伸超过该区域,同时能够得到所需的厚度t1和t2,即通过压印材料与模具26和表面36之间的毛细吸引力以及压印材料的表面粘合性达到这些效果。
再来看图2和图3,当达到所需的距离“d”之后,辐射源22产生光化辐射,使压印材料发生聚合和交联,使记录下的图案134固化。压印层34的组合物从液态的压印材料转化为固化的材料。在图4中更清楚地显示,这样提供了固化的压印层134,该层的一个面的形状与模具26的表面50的形状相一致。因此,形成了具有凹陷52和凸起54的记录下的图案。当记录下的图案134固化之后,增大距离“d”,从而将模具26与记录下的图案134隔开。通常该方法重复几次,在基片32的不同区域(图中未显示)上形成图案,这称为分步重复法。名为“STEPAND REPEAT IMPRINT LITHOGRAPHY”的公开的美国专利第6900881号中揭示了一种示例性的分步重复法,该专利被转让给本发明的受让人。
本发明的形成图案法具有许多的优点。例如,凸起54和凹陷52之间的厚度差有助于在基片32中形成对应于记录的图案134的图案。具体来说,凸起54和凹陷52的t1和t2之间的厚度差导致基片32与凸起54相重叠的区域暴露出来所需的蚀刻时间要比使得基片32与凹陷52相重叠的的区域暴露出来所需的时间长。因此,对于特定的蚀刻技术,在与凹陷相重叠的基片32的区域内,蚀刻将会比与凸起54相重叠的区域更快地开始。这有助于在基片中形成与记录下的图案34相对应的图案。通过适当地选择压印材料和蚀刻化学处理,可根据需要控制最终转移到基片32中的图案的不同特征之间的相对尺寸。因此,希望对于特定的蚀刻化学处理,记录下的图案134的蚀刻特征基本是均匀的。
因此,考虑到使用独特的形成图案方法,压印材料的特性对于使基片32有效地形成图案是很重要的。如上所述,压印材料以多个离散的间隔开的液滴38的形式置于基片32之上。液滴38的总体积应使得压印材料适当地分布在要形成记录的图案134的表面36的区域上。通过这种方式,液滴38中压印材料的总体积限定了将要得到的距离“d”,使得一旦达到该所需距离“d”,由模具26同与其重叠的基片32的部分之间的间隙内压印材料所占的总体积基本等于液滴38中压印材料的总体积。为了促进沉积过程,希望液滴38中的压印材料能够迅速而均匀地在表面36之上铺开,使得所有的厚度t1基本均一,而且所有的残余厚度t2基本均一。
参见图6,本发明所认识到的问题包括邻接的层300变化的蒸发特性。层300通过上述的方法形成,其不同之处是使用平面化模具(未显示)(即具有平滑表面的无图案的模具)来铺展液滴38。铺展液滴38之后,压印材料对波长约365纳米、通量77毫瓦/厘米2的光化辐射曝光约700毫秒,使压印材料固化。层300固化之后,在其上观察到区域厚度的变化,具体来说,发现区域302和304比层300余下的区域薄。可以看出,相对于区域302,区域304具有基本均匀的区域。与区域304相邻的区域302具有第一厚度S1,该厚度向着层300的外缘逐渐增大,逐渐接近表示为S2的最大值。认为区域302和304是由于液滴38中的压印材料铺展时产生一系列中间图案的过程中存在氧气导致部分聚合造成的。如图所示,当压印材料铺展时,在中间图案200中产生了材料-环境边界202。直至相邻的大部分压印材料合并为止,该材料-环境边界202都一直保持存在。可以看到,图案200中心区域的压印材料要比位于图案200边界204附近的区域更早合并。认为聚合的减少与暴露于环境的组分(例如氧气)的时间长短直接相关,认为这些环境中的组分会造成蒸发,并抑制聚合。这提供了一种区域302厚度变化的理由。用来形成层300的压印材料的现有技术组合物如下:
现有技术组合物
丙烯酸降冰片酯
丙烯酸正己酯
二丙烯酸乙二醇酯
2-羟基-2-甲基-1-苯基-丙-1-酮
R1R2
R1R2是表面活性剂。对于本发明来说,表面活性剂定义为任意的一端为疏水性的分子。在表面活性剂的分子结构中可含氟(例如包含氟链),或者可不含氟。在表面活性剂R1R2中,R1=F(CF2CF2)y,y=1-7(包括端值),R2=CH2CH2O(CH2CH2O)xH,X=0-15(包括端值)。示例性的表面活性剂是购自DUPONTTM的商品名为ZONYL FSO-100的表面活性剂。认为在聚合反应过程中,现有技术的组合物在紧邻材料-气体边界之处形成过氧化物自由基。这即使没有阻止压印材料的聚合也会减缓其聚合速率。因此,对于特定的聚合方法,膜300在其体积中具有不同的固化程度。
本发明通过在形成压印材料的组合物中包含清除剂物质,克服了这些缺点,所述清除剂物质能够消耗掉环境中会抑制固化过程的分子。具体来说,发现通过包含具有引发剂的添加剂,可以在材料-气体边界处将聚合反应的抑制作用减至最小。为此,在现有技术的组合物中加入含胺添加剂,以提供以下组合物:
组合物1
丙烯酸降冰片酯
丙烯酸正己酯
二丙烯酸乙二醇酯
2-羟基-2-甲基-1-苯基-丙-1-酮
N-甲基二乙二醇胺
R1R2
所述丙烯酸酯组分丙烯酸降冰片酯(IBOA)具有以下结构:
其约占组合物1的55重量%,但是其含量可为20-80重量%(包括端值)。因此,固化的压印层134的机械性能主要可归因于IBOA。丙烯酸正己酯(nHA)组分具有以下结构:
其约占组合物1的27重量%,但是其含量可为0-50重量%(包括端值)。还为固化的压印层134提供了挠性,使用nHA减小现有技术组合物的粘度,使得液相中的组合物1的粘度为2-9厘泊(包括端值)。交联组分二丙烯酸乙二醇酯具有以下结构:
Figure A20058003140300113
其约占组合物1的15重量%,其含量可为10-50重量%(包括端值)。EGDA也有助于提高模量和刚性,还可在组合物1聚合过程中促进nHA和IBOA交联。引发剂组分2-羟基-2-甲基-1-苯基-丙-1-酮购自美国纽约,Ciba SpecialtyChemicals of Tarrytown,商品名为DAROCUR 1173,其具有以下结构:
该组分约占组合物1的3重量%,其含量可为1-5重量%(包括端值)。所述引发剂对中压汞灯产生的宽带的紫外辐射敏感。通过这种方式,引发剂促进组合物1的组分的交联和聚合。表面活性剂组分R1R2如上文关于现有技术组合物所述,具有以下一般结构:
Figure A20058003140300115
当处于液相时,所述表面活性剂组分提供了合适的湿润性,当处于固相时,提供了所需的脱模(release)特性。胺组分N-甲基二乙醇胺具有以下结构:
Figure A20058003140300121
该组分约占组合物1的0.5-4重量%。所述胺组分即使不防止环境对组合物1的有害影响,也可减小该影响。具体来说,在聚合过程中发生以下反应:
式中引发剂是2-羟基-2-甲基-1-苯基-丙-1-酮,hv是当紫外辐射与引发剂碰撞时产生的光能,R·是引发剂受到辐射产生的初级自由基。然后初级自由基如下所示与IBOA和nHA丙烯酸酯M反应:
式中RM·是自由基链,也表示为P·,如下式所示链终止形成聚合物链:
P·+P·→聚合物(3)
除了上面的反应1-3以外,当存在周围环境时,在边界202附近发生另外的反应。在自由基引发剂R·和氧气O2之间发生如下式所示的示例性反应:
R·+O2→RO2·  (4)
其中RO2·是次级自由基,即过氧化物自由基。所述过氧化物自由基是不希望有的,因为它实际上会消耗初级自由基R·,减小促进式(2)的反应进行的初级自由基R·的量,而且过氧化物自由基自身引发聚合反应的可能性低。这样抑制了聚合,如式(4)所示。但是组合物1的氨基DH与次级自由基RO2·反应,如下式所示形成三级自由基D·以及一些残余分子RO2H:
RO2·+DH→RO2H+D·(5)
另外,胺自由基与丙烯酸酯M如下所示发生反应,促进M进一步聚合:
D·+M→DM·(6)
另外,氨基与环境中所含的氧气如下式所示发生反应,减少RO2·类过氧化物自由基的形成:
D·+O2→DO2·(7)
尽管不希望出现DO2·,但是DO2·可以如下式所示与组合物1中所含的其它氨基相互反应:
DO2·+DH→DO2H+D·(8)
形成另外的自由基,以进行进一步聚合,同时将固化的压印层中所含的氧气减少99%之多。应当理解可以通过替代、或者将2-羟基-2-甲基-1-苯基-丙-1-酮引发剂与含胺的引发剂(可包括叔胺)一起使用,或者用含胺引发剂代替,使得组合物1中包含胺基。如果使用胺基代替所述引发剂,希望胺基具有在紫外光辐照的条件下产生自由基的光活性。为此,其它的组合物可包括以下的组合物:
组合物2
丙烯酸降冰片酯
丙烯酸正己酯
二丙烯酸乙二醇酯
2-甲基-1[4-(甲硫基)苯基]-2-吗啉代丙-1-酮
R1R2
其中2-甲基-1[4-(甲硫基)苯基]-2-吗啉代丙-1-酮可从美国纽约,Tarrytown的Ciba Specialty Chemicals Corporation购得,其商品名为IRGACURE*907;
组合物3
丙烯酸降冰片酯
丙烯酸正己酯
二丙烯酸乙二醇酯
2-苄基-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮
R1R2
其中2-苄基-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮购自美国纽约,Tarrytown,Ciba Specialty Chemicals Corporation,其商品名为IRGACURE_369;
组合物4
丙烯酸降冰片酯
丙烯酸正己酯
二丙烯酸乙二醇
2-(4-甲基-苄基)-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮
R1R2
式中2-(4-甲基-苄基)-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮购自美国纽约,Tarrytown,Ciba Specialty Chemicals Corporation,其商品名为IRGACURE_379。
参见图2和图7,可能希望提供具有平滑的(如果不是平坦的)用来在其上形成压印层34的表面的基片。为此,基片32可包括底涂层96。当基片32的表面36相对于要在压印层34中形成的特征尺寸相比显得粗糙时,证明底涂层96是有益的。尤其是底涂层96还可用来提供与压印层34的标准界面,从而减小为用来形成基片32的压印材料定制各工艺的需要。另外,底涂层96可以由蚀刻特性与压印层34相同或不同的有机压印材料形成。因此,底涂层96以一定的方式形成,以获得可与压印层34具有极佳粘合性的连续、平滑、相对无缺陷的表面。一种用来形成底涂层96的示例性的材料可购自美国密苏里州,Rolla的BrewerScience,Inc.,其商品名为DUV30J-6。所提供的底涂层96的厚度通常有助于提供所需的表面外形,而不会对用来检测基片32表面上的图案,例如对准标记的光学传感设备呈不透光性。
参见图7和图8,已发现当已经预先形成图案的基片32的表面136上具有压印层34时,宜沉积底涂层196。为此,可使用液滴分配法、旋涂法等已知的沉积技术,像底涂层96一样沉积底涂层196。另外,为了提高底涂层96和底涂层196的表面的平整度,可能需要使该表面与具有相当平滑的(如果不是平整的)接触表面的平整化模具80相接触。
为了减小固化的底涂层96和196与平整化模具80相粘合的可能性,可以用低表面能涂层98处理底涂层。低表面能涂层98可通过任意已知的方法施涂。例如,处理技术可包括化学气相沉积法、物理气相沉积法、原子层沉积法或各种其它的技术、钎焊等。如图2所示,可以以类似的方式将低表面能涂层(未显示)施涂在模具26上。
除了上述表面活性剂和低表面能涂层以外,可以使用氟化添加剂提高压印材料的脱模性质。表面活性剂之类的氟化添加剂的表面能低于压印材料的表面能。Bender等在MULTIPLE IMPRINTING IN UV-BASED NANOIMPRINTLITHOGRAPHY:RELATED MATERIAL ISSUES,Microelectronic Engineeringpp.61-62(2002)中讨论了一种使用上述氟化添加剂的示例性方法。添加剂的低表面能提供了所需的脱模性,减小了交联和聚合的压印材料模具26和80的粘附。
上述本发明的实施方式是示例性的。可以对上述说明书进行许多改变和修改,而仍然在本发明范围之内。例如,可以对上述组合物的各种组分的比例进行变化。因此,本发明的范围不应限于上述描述,而是应当由所附权利要求书及其全部的等价范围来决定。

Claims (15)

1.一种使处于大气之中且包含许多分子的可聚合液体固化的方法,所述方法包括:
形成初级自由基;
通过使一部分的所述初级自由基与所述大气中的分子相互反应,形成次级自由基;
通过使所述许多分子与所述次级自由基相互反应,生成三级自由基,从而将所述许多分子中的一部分分子连接起来。
2.如权利要求1所述的方法,其特征在于,所述形成初级自由基的步骤还包括通过使所述可聚合液体受到光化辐射,产生所述初级自由基,以引发另外部分的所述许多分子连接起来。
3.如权利要求1所述的方法,所述方法还包括将胺基与可聚合组合物结合,所述生成三级自由基的步骤还包括产生能够引发聚合的α-氨基烷基自由基。
4.如权利要求1所述的方法,所述方法还包括将吗啉代基团与可聚合组合物结合,所述生成三级自由基的步骤还包括产生能够引发聚合的吗啉代自由基。
5.如权利要求1所述的方法,所述方法还包括将胺基与可聚合组合物结合,所述生成三级自由基的步骤还包括由所述胺基产生能够引发聚合的α-氨基烷基自由基,所述胺基选自主要由以下物质组成的一类胺基:2-甲基-1[4-(甲硫基)苯基]-2-吗啉代丙-1-酮、N-甲基二乙醇胺和2-苄基-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮和2-(4-甲基-苄基)-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮。
6.如权利要求1所述的方法,所述方法还包括通过将丙烯酸降冰片酯、丙烯酸正己酯和二丙烯酸乙二醇酯与胺基结合来形成组合物,所述生成三级自由基的步骤还包括由所述胺基产生能够引发所述聚合的α-氨基烷基自由基,所述胺基选自基本上由以下物质组成的一类胺基:2-甲基-1[4-(甲硫基)苯基]-2-吗啉代丙-1-酮、N-甲基二乙醇胺和2-苄基-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮和2-(4-甲基-苄基)-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮。
7.如权利要求1所述的方法,所述方法还包括通过将丙烯酸降冰片酯、丙烯酸正己酯、二丙烯酸乙二醇酯、表面活性剂和胺基结合来形成组合物,所述生成三级自由基的步骤还包括由所述胺基产生能够引发所述聚合的α-氨基烷基自由基,所述胺基选自基本上由以下物质组成的一类胺基:2-甲基-1[4-(甲硫基)苯基]-2-吗啉代丙-1-酮、N-甲基二乙醇胺和2-苄基-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮和2-(4-甲基-苄基)-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮。
8.一种使处于包含氧气的气氛中且包含许多分子的可聚合液体固化的方法,所述方法包括:
将一定体积的所述液体置于基片之上,所述体积具有限定出与所述气氛的界面的边界;
引发所述分子的聚合,同时将邻近所述边界的氧气抑制所述分子聚合的作用减至最小。
9.如权利要求8所述的方法,所述引发聚合的步骤还包括产生许多自由基,将所述分子连接起来,所述许多自由基中的第一部分形成过氧化物自由基,所述许多自由基中的第二部分通过所述过氧化物自由基的消耗反应形成,所述自由基的第二部分引发了另外的聚合。
10.如权利要求8所述的方法,所述引发聚合的步骤还包括产生许多自由基,将所述分子连接起来,所述许多自由基中的第一部分形成氧自由基,所述许多自由基的第二部分包含氧清除自由基,该氧清除自由基在所述氧自由基与所述许多分子之一结合之前,与所述氧自由基结合。
11.如权利要求8所述的方法,其特征在于,所述引发聚合的步骤还包括使所述许多分子受到光化辐射。
12.如权利要求8所述的方法,其特征在于,所述引发聚合的步骤还包括通过使所述可聚合液体受到光化辐射,产生初级自由基,以引发所述许多分子连接起来,并通过一部分的所述初级自由基与所述气氛中的氧气相互反应形成次级自由基;通过所述许多分子与所述次级自由基反应,生成三级自由基,从而将所述许多分子中的另外分子连接起来。
13.如权利要求8所述的方法,所述方法还包括将胺基与可聚合组合物结合,所述引发聚合的步骤还包括产生能够引发聚合的α-氨基烷基自由基。
14.如权利要求8所述的方法,所述方法还包括将胺基与可聚合组合物结合,所述引发聚合的步骤还包括由所述胺基产生能够引发聚合的α-氨基烷基自由基,所述胺基选自基本上由以下物质组成的一类胺基:2-甲基-1[4-(甲硫基)苯基]-2-吗啉代丙-1-酮、N-甲基二乙醇胺、2-苄基-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮和2-(4-甲基-苄基)-2-二甲基氨基-1-(4-吗啉代苯基)-1-丁酮。
15.如权利要求14所述的方法,其特征在于,所述结合的步骤还包括为所述可聚合组合物提供表面活性剂。
CNA2005800314031A 2004-09-23 2005-09-13 减小氧气抑制液体固化的聚合技术以及用于该技术的组合物 Pending CN101022894A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/948,511 US20060062922A1 (en) 2004-09-23 2004-09-23 Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US10/948,511 2004-09-23

Publications (1)

Publication Number Publication Date
CN101022894A true CN101022894A (zh) 2007-08-22

Family

ID=36074353

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800314031A Pending CN101022894A (zh) 2004-09-23 2005-09-13 减小氧气抑制液体固化的聚合技术以及用于该技术的组合物

Country Status (9)

Country Link
US (3) US20060062922A1 (zh)
EP (2) EP1796851B1 (zh)
JP (1) JP4942657B2 (zh)
KR (1) KR101219354B1 (zh)
CN (1) CN101022894A (zh)
AT (1) ATE486666T1 (zh)
DE (1) DE602005024589D1 (zh)
TW (1) TWI319349B (zh)
WO (1) WO2006036562A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101990470B (zh) * 2008-04-01 2016-05-04 分子制模股份有限公司 大面积辊子对辊子的刻印平版印刷

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
MY144847A (en) 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
NL2003875A (en) * 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography method and apparatus.
CN102438841A (zh) 2009-03-23 2012-05-02 因特瓦克公司 用于图案化介质中的岛与沟槽的比值优化的工艺
JP5397054B2 (ja) * 2009-07-08 2014-01-22 大日本印刷株式会社 ナノインプリント方法およびナノインプリント装置
WO2011066450A2 (en) * 2009-11-24 2011-06-03 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithography
JP5002695B2 (ja) 2010-09-24 2012-08-15 株式会社東芝 微細加工方法、微細加工装置、および微細加工プログラム
JP6012344B2 (ja) * 2011-10-24 2016-10-25 キヤノン株式会社 膜の形成方法
FR2998793B1 (fr) 2012-11-30 2014-11-28 Oreal Composition cosmetique sous forme d'emulsion huile-dans-eau
JP5644906B2 (ja) * 2013-07-18 2014-12-24 大日本印刷株式会社 ナノインプリント方法
US9550845B2 (en) 2014-04-08 2017-01-24 The Board Of Trustees Of The University Of Illinois Multiple stage curable polymer with controlled transitions
WO2016048053A1 (ko) * 2014-09-26 2016-03-31 한국기계연구원 복수의 나노갭이 형성된 기판 및 이의 제조방법
JP6363473B2 (ja) * 2014-11-17 2018-07-25 株式会社トクヤマ インプリント用光硬化性組成物、及び該組成物を用いたレジスト積層体の製造方法
US10120276B2 (en) * 2015-03-31 2018-11-06 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and method of manufacturing article
JP7397721B2 (ja) 2020-03-06 2023-12-13 キヤノン株式会社 決定方法、インプリント方法、インプリント装置、物品の製造方法及びプログラム
JPWO2022107888A1 (zh) * 2020-11-19 2022-05-27

Family Cites Families (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1720535A1 (de) 1967-02-23 1971-07-08 Dow Chemical Co Polymerisation von Acryl-Monomeren
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3844916A (en) * 1972-09-18 1974-10-29 Desoto Inc Radiation curable non-gelled michael addition reaction products
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
US4118235A (en) * 1975-09-18 1978-10-03 Daikin Kogyo Co., Ltd. Mold release agent
US4058656A (en) 1976-04-05 1977-11-15 Ici United States Inc. Free radical polymerization process employing substituted amino acetic acid derivatives
JPS573875A (en) * 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
DE3345103A1 (de) 1983-12-13 1985-06-13 Bayer Ag, 5090 Leverkusen Neue amine, verfahren zu deren herstellung sowie deren verwendung in radikalisch polymerisierbaren massen
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
EP0166363B1 (en) * 1984-06-26 1991-08-07 Asahi Glass Company Ltd. Low reflectance transparent material having antisoiling properties
JPS61116358A (ja) * 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4707432A (en) 1985-09-23 1987-11-17 Ciba-Geigy Corporation Ferrocenium/alpha-cleavage photoinitiator systems for free radical polymerizable compositions
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US5180757A (en) * 1987-12-16 1993-01-19 Michael Lucey Photopolymerizable compositions used in electronics
JPH01163027A (ja) * 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd 光学素子の成形方法およびその装置
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5439766A (en) * 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5028511A (en) 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5204381A (en) * 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
US5170182A (en) 1990-08-23 1992-12-08 Management Graphics, Inc. Apparatus and method for registering an image on a recording medium
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5170192A (en) 1990-11-29 1992-12-08 Pilkington Visioncare, Inc. Oxygen permeable bifocal contact lenses and their manufacture
EP0492830B1 (en) * 1990-12-28 1996-07-17 Dow Corning Corporation Method of indicating a cure point for ultraviolet radiation curing compositions by color change
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
DE69217574T2 (de) * 1991-05-17 1997-06-12 Asahi Glass Co Ltd Oberflächenbehandeltes Substrat
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
JPH0538797A (ja) * 1991-07-15 1993-02-19 Sekisui Chem Co Ltd 被覆物の製造方法及び被覆用シート
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
DE4228853C2 (de) 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
JP3123195B2 (ja) 1992-04-15 2001-01-09 ミノルタ株式会社 インクジェット用記録液
FR2693727B1 (fr) 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
EP0624404B1 (en) * 1993-05-14 1998-08-05 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5462700A (en) 1993-11-08 1995-10-31 Alliedsignal Inc. Process for making an array of tapered photopolymerized waveguides
JPH07238106A (ja) * 1994-03-02 1995-09-12 Japan Synthetic Rubber Co Ltd 立体造形用光硬化性組成物及び光硬化促進方法
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5523878A (en) * 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5459198A (en) 1994-07-29 1995-10-17 E. I. Du Pont De Nemours And Company Fluoroinfused composites, articles of manufacture formed therefrom, and processes for the preparation thereof
JP3278306B2 (ja) 1994-10-31 2002-04-30 富士写真フイルム株式会社 ポジ型フォトレジスト組成物
US5868966A (en) * 1995-03-30 1999-02-09 Drexel University Electroactive inorganic organic hybrid materials
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5684066A (en) * 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
WO1997036210A1 (en) * 1996-03-28 1997-10-02 Minnesota Mining And Manufacturing Company Perfluoroether release coatings for organic photoreceptors
JP3715021B2 (ja) * 1996-04-09 2005-11-09 Jsr株式会社 液状硬化性樹脂組成物
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6204343B1 (en) 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5792821A (en) * 1997-01-06 1998-08-11 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6335149B1 (en) * 1997-04-08 2002-01-01 Corning Incorporated High performance acrylate materials for optical interconnects
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6093455A (en) * 1997-05-23 2000-07-25 Deco Patents, Inc. Method and compositions for decorating glass
US6132632A (en) 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6114404A (en) 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
KR20010013818A (ko) 1998-04-15 2001-02-26 게스레이 마크 포토레지스트 현상액 및 현상 방법
JP3931936B2 (ja) * 1998-05-11 2007-06-20 セイコーエプソン株式会社 マイクロレンズアレイ基板及びその製造方法並びに表示装置
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) * 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
CA2280378C (en) * 1999-04-29 2009-05-26 S&C Electric Company Arrangements to detect and respond to disturbances in electrical power systems
WO2000076738A1 (en) 1999-06-11 2000-12-21 Bausch & Lomb Incorporated Lens molds with protective coatings for production of contact lenses and other ophthalmic products
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
AU7361200A (en) 1999-09-10 2001-04-10 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
JP4789329B2 (ja) * 2000-04-03 2011-10-12 Jsr株式会社 二層フィルム
US6306557B1 (en) * 2000-04-20 2001-10-23 Industrial Technology Research Foundation Process for preparing water dispersible negative-type photosensitive compositions
EP1150165A1 (en) 2000-04-25 2001-10-31 JSR Corporation Radiation sensitive resin composition for forming barrier ribs for an el display element, barrier ribs and el display element
US6774183B1 (en) 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
EP1303792B1 (en) 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
EP2270592B1 (en) 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
JP2004523906A (ja) * 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート
US6503914B1 (en) 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
GB0101070D0 (en) * 2001-01-16 2001-02-28 Reddiplex Group Plc Display stands
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6737489B2 (en) 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6736857B2 (en) 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP2003161802A (ja) * 2001-09-14 2003-06-06 Dainippon Printing Co Ltd 光硬化性樹脂組成物、シート、転写箔、微細凹凸パターン形成方法、及び光学用物品
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6721529B2 (en) 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6790905B2 (en) 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
WO2003064495A2 (en) 2001-11-07 2003-08-07 Dow Global Technologies Inc. Planarized microelectronic substrates
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7309560B2 (en) * 2002-02-19 2007-12-18 Nissan Chemical Industries, Ltd. Composition for forming anti-reflective coating
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
WO2004009505A1 (en) 2002-07-23 2004-01-29 Shell Internationale Research Maatschappij B.V. Hydrophobic surface treatment composition and method of making and using same
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
AU2003261317A1 (en) * 2002-08-01 2004-02-23 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
CN1519260A (zh) 2003-01-20 2004-08-11 上海华谊(集团)公司 氨基硫代羰基硫化物作链转移剂的可控自由基聚合方法
TWI230832B (en) * 2003-01-24 2005-04-11 Sipix Imaging Inc Novel adhesive and sealing layers for electrophoretic displays
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7122079B2 (en) 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
EP1606834B1 (en) 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20060108710A1 (en) 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
KR101193918B1 (ko) 2004-06-03 2012-10-29 몰레큘러 임프린츠 인코퍼레이티드 나노-스케일 제조공정을 위한 유체 배분방법과 필요에 따른액적 배분방법
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7309225B2 (en) 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
JP4904742B2 (ja) * 2004-09-16 2012-03-28 旭硝子株式会社 パターンの形成方法およびパターンを有する物品
US7547504B2 (en) 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
KR20070086766A (ko) 2004-12-01 2007-08-27 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피 공정용 열관리를 위한 노출 방법
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060177532A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US20070228608A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
MY144847A (en) 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
US7360851B1 (en) 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
ATE513625T1 (de) 2006-04-03 2011-07-15 Molecular Imprints Inc Lithographiedrucksystem
JP5306989B2 (ja) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法
WO2008082650A1 (en) 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101990470B (zh) * 2008-04-01 2016-05-04 分子制模股份有限公司 大面积辊子对辊子的刻印平版印刷

Also Published As

Publication number Publication date
US20070141271A1 (en) 2007-06-21
KR20070085233A (ko) 2007-08-27
EP1796851B1 (en) 2010-11-03
WO2006036562A2 (en) 2006-04-06
DE602005024589D1 (de) 2010-12-16
TWI319349B (en) 2010-01-11
ATE486666T1 (de) 2010-11-15
WO2006036562A3 (en) 2007-03-29
US7845931B2 (en) 2010-12-07
JP2008513577A (ja) 2008-05-01
KR101219354B1 (ko) 2013-01-18
US7981481B2 (en) 2011-07-19
US20060062922A1 (en) 2006-03-23
TW200613898A (en) 2006-05-01
US20080085465A1 (en) 2008-04-10
EP1796851A4 (en) 2009-05-06
EP1796851A2 (en) 2007-06-20
EP2272594A1 (en) 2011-01-12
JP4942657B2 (ja) 2012-05-30

Similar Documents

Publication Publication Date Title
CN101022894A (zh) 减小氧气抑制液体固化的聚合技术以及用于该技术的组合物
US7699598B2 (en) Conforming template for patterning liquids disposed on substrates
TWI324622B (en) Materials for imprint lithography
CN100572032C (zh) 减少贴合区与模具图案之间的粘合的方法
Costner et al. Nanoimprint lithography materials development for semiconductor device fabrication
US8616873B2 (en) Micro-conformal templates for nanoimprint lithography
US7281919B2 (en) System for controlling a volume of material on a mold
US7452574B2 (en) Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20050160934A1 (en) Materials and methods for imprint lithography
US20080138460A1 (en) Multilayer nano imprint lithography
US20040168613A1 (en) Composition and method to form a release layer
JP2007502715A (ja) 毛管作用によるインプリント技術
JP2010186979A (ja) インプリント用硬化性組成物、パターン形成方法およびパターン
TWI505030B (zh) 壓印用聚合性單體的製造方法及壓印用硬化性組成物的製造方法
del Campo et al. Generating micro-and nanopatterns on polymeric materials
TW201834065A (zh) 用於奈米壓印微影術之基材預處理組成物
JP5020079B2 (ja) 均一なエッチング特性を有する層を提供する方法及び組成物
JP5968933B2 (ja) インプリント用硬化性組成物、パターン形成方法およびパターン
JP2012169434A (ja) 微細パターンを有する成型体の製造方法
Resnick et al. Imprint lithography
Peroz et al. Nanoimprint technologies
CN1914265B (zh) 用于刻印平板印刷术的材料
Usuki et al. Design considerations for ultraviolet-nanoimprint lithography resists

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned

Effective date of abandoning: 20070822

C20 Patent right or utility model deemed to be abandoned or is abandoned