CN101005024B - Method of treatment of porous dielectric films to reduce damage during cleaning - Google Patents

Method of treatment of porous dielectric films to reduce damage during cleaning Download PDF

Info

Publication number
CN101005024B
CN101005024B CN2007100083254A CN200710008325A CN101005024B CN 101005024 B CN101005024 B CN 101005024B CN 2007100083254 A CN2007100083254 A CN 2007100083254A CN 200710008325 A CN200710008325 A CN 200710008325A CN 101005024 B CN101005024 B CN 101005024B
Authority
CN
China
Prior art keywords
low
dielectric
overcritical
supercritical
silylating agent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007100083254A
Other languages
Chinese (zh)
Other versions
CN101005024A (en
Inventor
P·施林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101005024A publication Critical patent/CN101005024A/en
Application granted granted Critical
Publication of CN101005024B publication Critical patent/CN101005024B/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0075Cleaning of glass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

A device, method, and system for treating low-k dielectric material films to reduce damage during microelectronic component cleaning processes is disclosed. The current invention cleans porous low-k dielectric material films in a highly selectivity with minimal dielectric material damage by first treating microelectronic components to a passivating process followed by a cleaning solution process.

Description

Reduce the processing method of porous dielectric films damage during cleaning
Related application
Present patent application on March 4th, 2003 post, title is that " Method of passivating oflow dielectric materials in wafer processing ", series number are the continuity of the common pending application part of U.S. of 10/379,984.This patent application requires priority, its series number that on April 12nd, 2002 submitted to, that meet the common unsettled U.S. Provisional Patent Application of 35U.S.C.119 (e) regulation are 60/372,822, title is " Method of treatment of porous dielectric films to reduce damage duringcleaning ".Here this piece submitted on April 12nd, 2002, title is that " Method of treatmentofporous dielectric films to reduce damage during cleaning ", series number are 60/372,822 temporary patent application and this piece in mailing on March 4th, 2003, title is that " Method of passivatingof low dielectric materials in wafer processing ", series number are that 10/379,984 U.S. Patent application all is incorporated herein by reference.
Invention field
The present invention relates to the cleaning field of dielectric film.More particularly, the present invention relates to be used for during cleaning, reduce to handling damage system, device and the method for low k dielectric film.
Background of invention
In semiconductor technology, new development comprises uses low k dielectric to replace dielectric material with isolated interconnection.Using low k dielectric as interlayer dielectric material at present.Low k dielectric mainly comprises three classes: inorganic material (SiO 2Sill); Mixture (hybrid) material (functional organic inorganic matrix), and organic material.The change of this use low k dielectric needs the improvement of photoresist lift off technology, with satisfied higher demand to cleaning and removing residues, and does not also increase cost simultaneously and influences output.
Physical dimension by using the interconnection structure that the low k dielectric isolated interconnection makes up is littler, causes integrated circuit faster.The porous low k dielectric material is a class special in these low k dielectrics.When etching line and through hole in the porous low k dielectric material, form silanol on the surface of these lines and through hole easily.Gap in porous low k dielectric material and these lines and through hole vicinity also forms silanol easily.
At low k dielectric is under the situation of inorganic material and mixture material, cleans these materials to having proposed challenge by dissolution residual substance or with the conventional cleaning way that the dielectric material slight etching is removed these residues.But, for low k dielectric,, porousness increased sensitiveness widely for these cleaning ways because of causing the increase of surface area, and this has reduced the selectivity of this mode for etch residues.Conventional dry cleaning process for example ashing method (ashing) also has and makes us the shortcoming that can't receive, because the ashing plasma influences the organic principle of mixture material easily, has increased dielectric constant thus.
What use at present is two kinds of basic skills: wet method and dry method.Dry method typically is used to peel off, and wet method is generally used for cleaning.Wet method is used acid, alkali or solvent, and it needs several treatment steps to remove residue.Dry method is optimal selection when handling the organic photoresist material.Even use dry method to peel off, after peeling off, still need wet treatment to remove the inorganic residue that after dry method, stays.
In semi-conductive manufacturing, make with photoresist mask usually by once or repeatedly etching and cineration step come patterning low k dielectric layer.These films are after etching or because the cause of their physical property forms a large amount of silanol functional on its surface easily, and the porousness owing to them presents very big material surface area with respect to cleaning way during cleaning.This promptly follows repeatedly cleaning step with regard to proposing a problem, and the material etching of low k dielectric film often causes the destruction of low k dielectric film.
In order to remove etching and photoetching glue residue and the bulk photoresist in these silanol, line and the through hole from the exposed surface of low k dielectric, cleaning process is carried out after line and through hole etching.In cleaning process, for release etch residue, photoresist and photoetching blob of viscose, etchant is removed the low k dielectric of individual layer a little less than general the employing.Have been found that this cleaning process can cause the porous low k dielectric material to make us unacceptable high rate of etch.Even when the porous low k dielectric material is exposed in the weak etchant also is like this.In silanol where, it has been found that weak etchant has been removed than individual layer manys a lot of low k dielectrics.
Present high dose injects the cleaning technique existing problems.When adopting this cleaning technique, anti-etching dose of a large amount of the injection, hydrogen is free from these anti-etching dose last three layers (top third), and produces extremely carburization zone.This carburization zone is difficult to remove and can etch away soon.In addition, also there is anti-etching dose of bulk having volatile ingredient below.
Even adopt normal lift-off technology, when cleaning, because pressure accumulated meeting produces explosion and bubble with lower speed.This not only pollutes process chamber, and these carbonization pieces also can with the exposed region combination of wafer surface.In addition, standard high temperature oxidation base plasma can not work to the cleaning of low k dielectric.These high temperature and oxygen environment oxidation have also reduced the integrality of film and the material behavior of low k dielectric.
Need a kind of etching after and the method for the processing porous low k dielectric material that before cleaning, carries out, with the silanol that exists in the minimizing porous low k dielectric material.Problem be to guarantee this cleaning method fully effectively clean surface simultaneously again can etching or changes low-k materials.
Summary of the invention
The microelectronic component of today has finer structure and the aspect ratio of Geng Gao, and it needs new low-k materials.For the photoresist lift off technology, need to satisfy because the challenge that the size of critical aspect ratio and contraction is brought.The low k dielectric film needs unprecedented cleannes in manufacture process.Low k dielectric is different with typical 0.25 μ m architectural feature, in 0.25 μ m architectural feature, and the etching in dielectric layer of through hole and line, this dielectric layer can be caught residue.In addition, present photoresist produces more nonabradable residue.The invention provides a kind of mode, clean through hole and line on the one hand, protect dielectric film on the other hand.
The present invention is devoted to solve the biggest problem that runs in the technology of cleaning the low-k materials that exposes: peel off.Polymer is used for low k and organic anti-etching dose, and this situation has restricted lift-off technology.It is complicated cleaning anti-etching dose or residue and don't influence low k dielectric from low k dielectric.Usually, on low k dielectric, put a hard mask and serve as etch stop.This hard mask also can be used for CMP backstop.During etching, most of anti-etching dose of piece is removed.Yet, generally stay considerable residue and polymer on the sidewall of raceway groove and through hole.The present invention is devoted to relate to solve these in the problem of removing these residues and polymer but not etching away this low k dielectric.
250 oxygen bases of standard plasma can not clean low k dielectric and work.Oxygen environment meeting oxidation also reduces the integrality of film and the material behavior of low k dielectric material.The invention provides and do not have extra and put that the chemical cleaning of washing is cleaned sidewall and this cleaning has alternative for polymer in order.In addition, the present invention is devoted to solve shortcoming in the current clean by adopt low temperature in clean.
The preferred embodiments of the present invention have adopted supercritical carbon dioxide (SCCO 2).In additional embodiments of the present invention, adopted the chemical drying method ion to exhaust downward liquid stream microwave plasma method.In another embodiment of the present invention, combine with the present invention, adopted the wet chemical processing, to realize high selectivity and the damage that minimizes low k dielectric.
The present invention has removed and has guaranteed that stripper and residue remover can not corrode or destroy the biggest obstacle aspect the low k dielectric.Simultaneously, minimizing this causes opening to broaden or thickness loss's etching.In addition, by adopting the present invention, keep or reduced the k value of this film.
The accompanying drawing summary
(post-etch) stayed before the thing and the rough schematic view of low k dielectric (be the Passivation Treatment step, carry out the treatment step of cleaning solution subsequently) afterwards after Figure 1A and 1B illustrated and remove etching according to the supercritical solution that comprises supercritical carbon dioxide and silica-based passivator in utilization of the present invention.
Fig. 2 illustrates the rough schematic view according to the overcritical chip processing device of the embodiment of the invention.
Fig. 3 illustrates the detailed maps according to the first supercritical processing device of the embodiment of the invention.
Fig. 4 is the schematic block diagram that illustrates according to the generality step of the processing silicon-dioxide-substrate low k dielectric layer of the embodiment of the invention.
Preferred embodiment describes in detail
Usually the material with 3.5-2.5 low-k is called low k dielectric.Usually dielectric constant 2.5 and under porous material call ultralow k (ULK) dielectric material.Among the application low k dielectric and ultralow k dielectric material both are called low k dielectric.Low k dielectric is porous oxygen sill normally, and can comprise organic or hydrocarbon components.The example of low k dielectric includes, but not limited to carbon-doped oxide (COD), spin-on-glass (SOG) and fluorinated silica glass (FSG) material.These porous low k dielectric material films generally comprise carbon and hydrogen, and deposit by the method for for example spin coating or CVD.Handle these films by this method, with the film of the anti-cleaning process damage of generation one deck, and these films have SiO usually xBase or SiO x-C xH yThe inorganic matrix of base.
According to method of the present invention, by depositing the low k dielectric layer that continuous low k dielectric layer forms patterning, utilize photoetching etched pattern on low k dielectric, and use the supercritical solution of forming by supercritical carbon dioxide and silica-based passivator to remove post-etch residue (being the Passivation Treatment step), then carry out the cleaning solution treatment step.
The present invention has played minimizing or has eliminated etched effect by adopting the reaction of overcritical silylating agent and silanol functional, has reduced the rate of etch of low k dielectric film in cleaning process thus.Method of the present invention is preferably come the low k dielectric layer of passivation patternization by end-blocking (end-capping) silanol from the teeth outwards and/or in the low k dielectric piece, has more the hydrophobicity more antipollution and/or the patterning low k dielectric of reactivity still less with generation.After described passivation, in the method for the invention, clean film and preferably make the etching minimum of cleaning solution this film.According to embodiments of the invention, the Passivation Treatment step is independent of the clean after the overcritical etching, perhaps carries out synchronously with overcritical after etching clean.In addition, according to embodiments of the invention, can after the Passivation Treatment step, carry out the cleaning solution treatment step.According to embodiments of the invention, overcritical silylating agent comprises supercritical carbon dioxide and a certain amount of passivator that is preferably silylating agent.Silylating agent preferably includes silane structure (R 1) (R 2) (R 3) SiNH (R 4), R wherein 1, R 2, R 3Can be identical or be selected from group H, alkyl, aryl, propyl group, phenyl and/or their derivative and halogen (Cl, Br, F choose in I) independently.R 4Except can independently selecting from group H, alkyl, aryl, propyl group, phenyl and/or their derivative can also be (SiR 1R 2R 3).In another embodiment, silylating agent comprises the tetravalence organo-silicon compound, and wherein silicon atom becomes 4 ligands with 4 with the coordination of pyramid mode 1,2,3.In yet another embodiment, silylating agent comprises silazane structure, this structure can be expressed as on the nitrogen of the amine coordination amine structure of two organosilicon radicals.
Silylating agent can be introduced supercritical carbon dioxide (SCCO by self or by carrier solvent 2), to produce overcritical silylation agent, these solvents such as N, N-dimethylacetylamide (DMAC), gamma-butyrolacton (gamma-butyrolacetone) (BLO), methyl-sulfoxide (DMSO), ethylene carbonate (EC), N-methyl pyrrolidone (NMP), lupetidine ketone, propylene carbonate, alcohols or their combination.Best SCCO 2Carrier fluid as silylating agent.By using SCCO 2As carrier fluid, silylating agent can be easily and is transported to whole film soon, can react fully and fast to guarantee it and whole film.
Those of ordinary skills can know clearly, the combination that has the overcritical passivating dip of any amount of silylating agent and silylating agent is all in category of the present invention.
Thermodynamic condition is variable: treatment temperature is between 25 to 200 ℃, and pressure is between 700 to 9000psi..When preferred supercritical CO 2The time, under certain environment, can use liquid CO 2Silylating agent preferably includes hexamethyldisiloxane (hexamethyldisilazane).Perhaps silylating agent comprises organochlorosilane.In addition, silylating agent also can comprise the hydrolyzable alkoxy TMOS.The typical processing time is between 15 seconds to 10 minutes.
Figure 1A and 1B are illustrated in supercritical solution that use is made up of supercritical carbon dioxide and silica-based passivator and remove post-etch residue (also be the Passivation Treatment step, the carry out the cleaning solution treatment step subsequently) rough schematic view of low k dielectric before and afterwards.Patterning low k dielectric 100 among Figure 1A shows removes post-etch residue patterning low k dielectric 100 before, and Figure 1B shows and removes post-etch residue low k dielectric 100 afterwards.Particularly, before supercritical carbon dioxide cleaning and cleaning solution treatment step, can in Figure 1A, see anti-etching dose 110 above the low k dielectric 130 and lateral wall polymer residue 120.Figure 1B shows the situation of same low k dielectric 130 after high selectivity is cleaned, and showing does not have sapping (undercut) and residue are eliminated.
Fig. 2 illustrates the rough schematic view of first supercritical processing device 200.Device 200 comprises carbon dioxide source 221, and it is connected to lead-in 226 by source valve 223, and source valve 223 can open and close, so that carbon dioxide begins and stop to flow to introducing line 226 from carbon dioxide source 221.Introduce line 226 one or more back-flow prevention valve preferably is housed, pump and heater schematically show with case 220 among the figure, and it can produce and/or keep stream of supercritical carbon dioxide.Introduce line 226 and also preferably have the valve 225 of introducing, it can be opened or close, to allow or to prevent that stream of supercritical carbon dioxide from flowing to process chamber 201.
Still with reference to Fig. 2, the one or more pressure valve 209 of process chamber 201 preferred fit are used for emptying process chamber 201 and/or regulate the interior pressure of process chamber.Simultaneously with reference to another embodiment of the present invention, process chamber 201 connects pump and/or vacuum 211, is used to process chamber 201 pressurizations and/or vacuumizes.
Still with reference to Fig. 2, in the process chamber 201 of device 200, preferably have one and be used to keep and/or the chuck 233 of supporting wafers structure 213.According to another embodiment of the invention, chuck 233 and/or process chamber 201 have one or more heater 231, are used for adjusting the temperature of the supercritical process solution of the temperature of chip architecture 213 and/or process chamber 201.
Device 200 preferably also has a circulation circuit 203 that is connected to process chamber 201.Circulation circuit 203 preferably is equipped with one or more and is used to adjust the valve 215 and 215 ' that flow of supercritical process solution by circulation circuit 203 and process chamber 201.Circulation circuit 203 preferably also is equipped with the back-flow prevention valve of any amount, pump and/or heater, schematically show with case 205 among the figure, it is used to keep supercritical process solution, and is used for making supercritical process solution to flow at circulation circuit 203 and process chamber 201.According to a preferred embodiment of the invention, circulation circuit 203 have one be used for chemical reagent for example passivator and solvent introduce the inlet 207 of circulation circuit 203 so that produce supercritical process solution on the spot.
Fig. 3 is than the described first supercritical processing device 76 that illustrates in greater detail of top Fig. 2.Configuration first supercritical processing device 76 makes it be used to produce overcritical cleaning, flushing and Treatment Solution, and is used to handle wafer wherein.First supercritical processing device 76 comprises CO 2 vessels 332, carbon dioxide pump 334, process chamber 336, chemical reagent supply 338, circulating pump 340, exhaust gas collector 344.Carbon dioxide supply 332 is connected to process chamber 336 by carbon dioxide pump 334 and carbon dioxide conduit 346.Carbon dioxide conduit 346 comprises the CO 2 heater 348 between carbon dioxide pump 334 and process chamber 336.Process chamber 336 comprises process chamber heaters 350.Circulating pump 340 is positioned on the circulation line 352, and circulation line 352 links to each other with process chamber 336 by circulation input 354 and circulation output 356.Chemical reagent supply 338 is connected to circular route 352 by chemical reagent supply line 358, and this circular route 352 comprises first injection pump 359.Purificant supply 360 is connected to circular route 352 by rinsing supply line 362, and this supply line comprises second injection pump 363.Exhaust gas collector 344 is connected to process chamber 336 by exhaust piping 364.
Carbon dioxide supply 332, carbon dioxide pump 334, and CO 2 heater 348 constitutes carbon dioxide feeding mechanism 349.Chemical reagent supply 338, the first injection pumps 359, the purificant supply 360 and second injection pump 363 constitute chemical reagent and purificant feeding mechanism 365.
To those skilled in the art, first supercritical processing device 76 obviously comprises valve, control electronic equipment, filter and the general line that typical treatment with supercritical fluid system is had.
Still with reference to Fig. 3, in the time of operation the wafer (not shown) that has residue on it is inserted in the chip cavity 312 of process chamber 336, and with process chamber 336 sealings.The carbon dioxide that carbon dioxide pump 334 utilizes carbon dioxide supply 332 to supply with pressurizes to process chamber 336, and in process chamber heaters 350 heat treatment chamber 336, make the described carbon dioxide heating of 348 pairs of CO 2 heater, thereby the temperature of guaranteeing the carbon dioxide in the process chamber 336 is on critical temperature.The critical temperature of carbon dioxide is 31 ℃.In the process chamber 336 temperature of carbon dioxide preferably 25 ℃ in about 200 ℃ scope, and in overcritical passivation step preferably near 70 ℃.
Reaching under the situation of initial super critical condition, first injection pump 359 reagent treatment for example silylating agent be drawn into the process chamber 336 by circular route 352 from chemical reagent supply 338, simultaneously carbon dioxide pump also pressurizes to supercritical carbon dioxide.When beginning that just reagent treatment is added to process chamber 336, the pressure in the process chamber 336 is preferably about 700 to 9, in the 000psi scope, more preferably or near 3,000psi..In case when having aspirated the reagent treatment of requirement in the process chamber 336 and having reached desirable super critical condition, carbon dioxide pump 334 stops process chamber 336 pressurizations, first injection pump 359 stops reagent treatment being drawn in the process chamber 336, and circulating pump 340 begins to make supercritical carbon dioxide and cleaning solution circulation.At last, circulating pump 340 begins to make the overcritical cleaning solution circulation of being made up of supercritical carbon dioxide and reagent treatment.At this moment the pressure in the process chamber 336 preferably is about 3000psi..By making the circulation of overcritical cleaning solution and supercritical process solution, replenished supercritical solvent and solution soon in wafer surface, strengthened the passivation and the cleaning rate of low k dielectric laminar surface on the wafer thus.
When processing has the wafer (not shown) of low k dielectric layer in process chamber 336, can adopt mechanical chuck, vacuum chuck or other suitable maintenance or jockey to keep wafer.According to embodiments of the invention, in supercritical process step, it is static that wafer keeps in process chamber 336, and perhaps rotation is circled round or agitated conditions.
After supercritical process solution cycles through circulation line 352 and process chamber 336, get back near initial super critical condition in order to make the condition in the process chamber 336, some supercritical process solution are discharged in the exhaust gas collector 344, the pressure portion ground in the process chamber 336 is reduced.Before supercritical process solution being fully discharged in the gatherer 344, preferably make process chamber 336 through at least one such decompression and compression cycle.After emptying balancing gate pit 336, carry out second supercritical process step, perhaps wafer is taken out from process chamber 336, and in second processing unit or module (not shown), proceed processing of wafers.
Fig. 4 be adopt overcritical cleaning and passivating dip come to comprise patterning low k dielectric layer with and on etching after or the block diagram of summary (outlining) step that the board structure of (post-ash) residue is handled after the ashing.In step 402, will comprise that the board structure of post-etch residue is placed and is sealed in the process chamber.In step 402, board structure is put into and is sealed in after the process chamber, in step 404, use supercritical CO 2To the process chamber pressurization, and this supercritical CO 2In added reagent treatment, to produce overcritical cleaning and passivating dip.Cleaning and passivation agents preferably include at least a organo-silicon compound.
In the step 404 after having produced overcritical cleaning and passivating dip, in step 406, this board structure is remained on a period of time in the supercritical process solution, enough to remove at least a portion residue and to remove the surface that post-passivation exposes at residue from board structure.In the process of step 406, this overcritical cleaning and passivating dip preferably stir by process chamber and/or other and circulate, so that this overcritical cleaning solution flows on the surface of board structure.Cleaning step also can be after passivation, before the passivation or carry out between deactivation period.
Still with reference to Fig. 4, after in step 406, at least a portion residue being removed from board structure, in step 408, carry out overcritical cleaning solution treatment step, wherein overcritical cleaning solution preferably stirs by process chamber and/or other and circulates, so that supercritical solution flows on the whole surface of board structure.After overcritical cleaning solution treatment step 408, in step 410 with partly emptying of process chamber.To comprise step 404,406 and 408 clean repeats arbitrarily time, shown in the arrow of Connection Step 410 to 404, with the residue of removing board structure and with the surface passivation that exposes.According to embodiments of the invention, comprise step 404,406 and 408 processing adopts fresh supercritical carbon dioxide, fresh chemical reagent or these two all to have.Perhaps, by with supercritical carbon dioxide dilution process chamber, add other cleaning reagent material or the two combined and change the concentration of cleaning reagent.
Still with reference to Fig. 4, at treatment step 404,406,408 and 410 finish after, in step 412, preferably this board structure is carried out supercritical rinse solution and handles.Supercritical rinse solution preferably includes supercritical CO 2With one or more organic solvents, but can be pure supercritical CO 2
Still with reference to Fig. 4, in step 404, board structure cleaned in 406,408 and 410 and in step 412 with after its rinsing, in step 414, from process chamber, shift out with the process chamber decompression and with board structure.Perhaps, this board structure is handled by one or more other cleaning that comprises step 404,406,408,410 and 412 (shown in the arrow of Connection Step 412 and 404)/rinsing circularly.Again or, perhaps with board structure circularly by outside one or more other cleaning/flush cycle, before the step 414 that board structure is removed, carry out several flush cycle from process chamber, shown in the arrow of Connection Step 412 and 410.
As previously mentioned, employing is by supercritical carbon dioxide and one or more solvents low k dielectric layer in the supercritical solution passivation board structure formed of methyl alcohol, ethanol and/or their combination for example, before this, can carry out drying and/or preliminary treatment to board structure.As previously mentioned, adopt the supercritical solution that comprises the supercritical carbon dioxide that has or do not have cosolvent to carry out preliminary treatment, it seems and also improved the coverage rate of silyl at the low k dielectric laminar surface to the low k dielectric layer.Equally, those of ordinary skill in the art knows clearly, can carry out cleaning of arbitrary number of times ground and passivation step to the wafer that comprises post-etch residue and/or patterning low k dielectric layer and handle and/or order modification.
Will be understood by those skilled in the art that mainly when clean is at first described the passivating method of low k dielectric after etching reprocessing and/or the etching, method of the present invention can also be used for direct passivation low k dielectric here.In addition, be appreciated that when the processing low k dielectric that the method according to this invention is not always to need overcritical rinse step, use, before low k dielectric being handled, suit only it to be carried out drying with overcritical passivating dip for some.
In sum, part technology provided by the invention incidence of criminal offenses is as follows:
1. method of processing the low k dielectric surface comprises:
A. process low k dielectric with overcritical silylating agent, to form the low k dielectric surface of passivation;
B. after overcritical silylating agent is processed this low k dielectric surface with this, remove this overcritical silylating agent;
C. process the low k dielectric surface of this passivation with supercritical solvent; And
D. remove this supercritical solvent after the low k dielectric surface of processing this passivation with this supercritical solvent, wherein this overcritical silylating agent and this supercritical solvent are with surperficial at least part of passivation of the low k dielectric of this passivation.
2. as technical scheme 1 described method, wherein this overcritical silylating agent comprises supercritical CO 2With a certain amount of silylating agent that comprises organic group.
3. as technical scheme 2 described methods, wherein this organic group comprises 5 or carbon atom still less.
4. as technical scheme 1 described method, wherein this supercritical solvent comprises supercritical CO 2And the mixture of acid and fluoride.
5. as technical scheme 4 described methods, wherein this acid comprises organic acid.
6. as technical scheme 4 described methods, wherein this acid comprises inorganic acid.
7. as technical scheme 1 described method, wherein this overcritical silylating agent is to have structure (R 1) (R 2) (R 3) SiNH (R 4) silane.
8. as technical scheme 1 described method, wherein this overcritical silylating agent also comprises a kind of carrier solvent.
9. as technical scheme 8 described methods, wherein this carrier solvent is selected from N, N-dimethylacetylamide (DMAC), gamma-butyrolacton (gamma-butyrolacetone) (BLO), methyl-sulfoxide (DMSO), ethylene carbonate (EC), N-methyl pyrrolidone (NMP), lupetidine ketone, propylene carbonate and ethanol.
10. as technical scheme 1 described method, wherein this low k dielectric surface remains in the scope of 25 to 200 degrees centigrade of temperature.
11., wherein handle this low k dielectric surface and comprise and make of the surperficial cocycle of this overcritical silylating agent at this low k dielectric with overcritical silylating agent as technical scheme 1 described method.
12., wherein handle this low k dielectric surface and comprise and make of the whole surperficial cocycle of this supercritical solvent at this low k dielectric with supercritical solvent as technical scheme 1 described method.
13. as technical scheme 1 described method, wherein this overcritical silylating agent keep-ups pressure 700 in the scope of 9000psi.
14., also be included in to handle and this low k dielectric carried out drying before this low k dielectric with overcritical silylating agent as technical scheme 1 described method.
15., wherein drying is carried out on this low k dielectric surface and comprises with this low k dielectric surface of supercritical drying solution-treated that comprises supercritical carbon dioxide as technical scheme 14 described methods.
16. as technical scheme 1 described method, wherein this low k dielectric surface comprises silicon dioxide.
17. as technical scheme 1 described method, wherein this low k dielectric surface comprises the material that is selected from carbon-doped oxide (COD), spin-on-glass (SOG) and fluorinated silica glass (FSG).
18. a method of handling dielectric surface comprises:
A. remove post-etch residue with the first overcritical cleaning solution from this dielectric surface;
B. handle this dielectric surface with silylating agent, to form the passivation dielectric surface, wherein this silylating agent is in the second overcritical cleaning solution; And
C. handle this passivation dielectric surface with solvent, wherein this solvent is in the 3rd overcritical cleaning solution.
19. as technical scheme 18 described methods, wherein this residue comprises polymer.
20. as technical scheme 19 described methods, wherein this polymer is the photoresist polymer.
21. as technical scheme 20 described methods, wherein this photoresist polymer comprises the antireflection dyestuff.
22. as technical scheme 18 described methods, wherein this dielectric surface comprises silicon dioxide.
23. as technical scheme 18 described methods, wherein this dielectric surface comprises low k dielectric.
24. as technical scheme 18 described methods, wherein this dielectric surface comprises a kind of material, this material is selected from the group that comprises carbon-doped oxide (COD), spin-on-glass (SOG) and fluorinated silica glass (FSG).
25. as technical scheme 18 described methods, wherein this post-etch residue comprises antireflecting coating.
26. as technical scheme 18 described methods, wherein this silylating agent includes organic silicon compound.
27. as technical scheme 18 described methods, wherein this solvent comprises supercritical CO 2And the mixture of acid and fluoride.
28. as technical scheme 26 described methods, wherein this organo-silicon compound reagent is to have structure (R 1) (R 2) (R 3) SiNH (R 4) silane.
29. a method that forms patterning low k dielectric layer, this method comprises:
A. deposit continuous low k dielectric layer;
B. on this continuous low k dielectric layer, form the photoresist mask;
C. by this photoresist mask, this continuous low k dielectric layer of patterning forms post-etch residue thus;
D. use and comprise that the supercritical solution of supercritical carbon dioxide and passivator removes the part of this post-etch residue; And
E. use the supercritical solvent that comprises acid and fluoride aqueous solution to remove remaining post-etch residue.
30. as technical scheme 29 described methods, wherein this supercritical solvent also comprises supercritical carbon dioxide.
31. as technical scheme 29 described methods, wherein this passivator is silica-based.
32. as technical scheme 31 described methods, wherein this silica-based passivator includes organic silicon compound.
33. a formation has the method for the dielectric materials layer of the k value that reduces, this method comprises:
A. this dielectric materials layer of patterning has the dielectric materials layer of the patterning of a k value with formation;
B. use this patterned dielectric material layer of passivator passivation, to form the low k dielectric layer that reduces with the 2nd k value of patterning; And
C. handle the low k dielectric layer that reduces of this patterning with overcritical cleaning solvent.
34. as technical scheme 33 described methods, a wherein said k value is greater than 3.0.
35. as technical scheme 33 described methods, wherein said the 2nd k value is less than 3.0.
36. as technical scheme 33 described methods, wherein a k value and the 2nd k value differ 1.0 or more.
37. as technical scheme 33 described methods, wherein this dielectric material comprises silica composition and hydrocarbon composition.
38. as technical scheme 33 described methods, wherein this passivator is the silylating agent that comprises organic group.
39. as technical scheme 33 described methods, wherein this overcritical cleaning solvent is the solution of acid and fluoride.
40. as technical scheme 33 described methods, wherein this overcritical cleaning solvent is 0.1-15.0v/v%.

Claims (45)

1. a processing has the method for the material surface of low-k, and this method comprises:
A. handle material surface with overcritical silylating agent, to form the material surface with low-k of passivation with low-k;
B. remove this overcritical silylating agent;
C. with the material surface with low-k of this passivation of a kind of supercritical solvent solution-treated; And
D. remove this supercritical solvent solution.
2. the method for claim 1, wherein this overcritical silylating agent comprises supercritical CO 2With a certain amount of silylating agent that comprises organic group.
3. method as claimed in claim 2, wherein this organic group contains 5 or carbon atom still less.
4. the method for claim 1, wherein this supercritical solvent solution comprises supercritical CO 2And the mixture of acid and fluoride.
5. method as claimed in claim 4, wherein this acid comprises organic acid.
6. method as claimed in claim 4, wherein this acid comprises inorganic acid.
7. the method for claim 1, wherein this overcritical silylating agent comprises having structure (R 1) (R 2) (R 3) SiNH (R 4) silane.
8. the method for claim 1, wherein this overcritical silylating agent also comprises a kind of carrier solvent.
9. method as claimed in claim 8, wherein this carrier solvent is selected from N, N-dimethylacetylamide, gamma-butyrolacton, methyl-sulfoxide, ethylene carbonate, N-methyl pyrrolidone, lupetidine ketone, propylene carbonate and alcohols.
10. the method for claim 1, wherein this material surface remains in the scope of 25 to 200 degrees centigrade of temperature.
11. the method for claim 1 is wherein handled this material surface with overcritical silylating agent and is comprised and make the surperficial cocycle of this overcritical silylating agent at this material.
12. the method for claim 1 wherein comprises with this material surface of supercritical solvent solution-treated making the surperficial cocycle of this supercritical solvent solution at this material.
13. the method for claim 1, wherein this overcritical silylating agent keep-ups pressure 700 in the scope of 9000psi.
14. the method for claim 1 also is included in to handle with overcritical silylating agent and this material surface is carried out drying before this material surface.
15. method as claimed in claim 14 is wherein carried out drying to this material surface and is comprised with this material surface of supercritical drying solution-treated that comprises supercritical carbon dioxide.
16. the method for claim 1, wherein this material surface comprises silicon dioxide.
17. the method for claim 1, wherein this material surface comprises the material that is selected from carbon-doped oxide, spin-on-glass and fluorinated silica glass.
18. a processing has the method on the surface of low-k, this method comprises:
A. remove post-etch residue with the first overcritical cleaning solution from this surface, wherein this surface has low-k;
B. handle the surface that this has low-k with silylating agent, to form the passivation dielectric surface, wherein this silylating agent is in the second overcritical cleaning solution; And
C. handle this passivation dielectric surface with solvent, wherein this solvent is in the 3rd overcritical cleaning solution.
19. method as claimed in claim 18, wherein this post-etch residue comprises polymer.
20. method as claimed in claim 19, wherein this polymer is the photoresist polymer.
21. method as claimed in claim 20, wherein this photoresist polymer comprises the antireflection dyestuff.
22. method as claimed in claim 18, wherein this dielectric surface comprises silicon dioxide.
23. method as claimed in claim 18, wherein this dielectric surface comprises the material with low-k.
24. method as claimed in claim 18, wherein this dielectric surface comprises the material that is selected from carbon-doped oxide, spin-on-glass and fluorinated silica glass.
25. method as claimed in claim 18, wherein this post-etch residue comprises antireflecting coating.
26. method as claimed in claim 18, wherein this silylating agent includes organic silicon compound.
27. method as claimed in claim 18, wherein this solvent comprises supercritical CO 2And the mixture of acid and fluoride.
28. method as claimed in claim 26, wherein these organo-silicon compound are to have structure (R 1) (R 2) (R 3) SiNH (R 4) silane.
29. one kind forms the method that patterning has the material layer of low-k, this method comprises:
A. deposition has the pantostrat of the material of low-k;
B. on having the pantostrat of material of low-k, this forms the photoresist mask;
C. by this photoresist mask, the pantostrat of this material of patterning forms post-etch residue thus;
D. a part of using the overcritical cleaning solution contain supercritical carbon dioxide and passivator to remove this post-etch residue; And
E. use the supercritical solvent solution that comprises acid and fluoride aqueous solution to remove remaining post-etch residue.
30. method as claimed in claim 29, wherein this supercritical solvent solution also comprises supercritical carbon dioxide.
31. method as claimed in claim 29, wherein this passivator is silica-based.
32. method as claimed in claim 31, wherein this passivator includes organic silicon compound.
33. a formation has the method for the material layer of the dielectric constant that reduces, this method comprises:
A. this dielectric materials layer of patterning has the dielectric materials layer of the patterning of first dielectric constant with formation;
B. use this patterned dielectric material layer of passivator passivation, have the layer of passivation material of second dielectric constant with formation, wherein this second dielectric constant is lower than first dielectric constant; And
C. handle the dielectric materials layer of this passivation with overcritical cleaning solvent.
34. method as claimed in claim 33, wherein said first dielectric constant is greater than 3.0.
35. method as claimed in claim 33, wherein said second dielectric constant is less than 3.0.
36. method as claimed in claim 33, wherein first dielectric constant and second dielectric constant differ 1.0 or more.
37. method as claimed in claim 33, wherein this dielectric material comprises silica composition and hydrocarbon composition.
38. method as claimed in claim 33, wherein this passivator is the silylating agent that comprises organic group.
39. method as claimed in claim 33, wherein this overcritical cleaning solvent comprises the solution of acid and fluoride.
40. method as claimed in claim 33, wherein this overcritical cleaning solvent contains 0.1-15.0v/v%.
41. a method of removing residue from the material layer with low-k of patterning, this method comprises:
A. material layer with low-k and the residue with described patterning is exposed to overcritical cleaning and passivating dip; With
B. the material layer with low-k with described patterning keeps time enough in described overcritical cleaning and passivating dip, removes at least a portion residue with the material layer with low-k from described patterning.
42. method as claimed in claim 41, wherein simultaneously or also comprise the step that the material layer with low-k of described patterning is exposed to overcritical cleaning solution afterwards at step b.
43. method as claimed in claim 41, wherein simultaneously or also comprise the step that the material layer with low-k of described patterning is exposed to supercritical rinse solution afterwards at step b.
44. as the method for one of claim 1-32 and 41-43, wherein said low-k is 3.5-2.5.
45. as the method for one of claim 1-32 and 41-43, wherein said low-k be 2.5 or below.
CN2007100083254A 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning Expired - Fee Related CN101005024B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US37282202P 2002-04-12 2002-04-12
US60/372822 2002-04-12

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB038081466A Division CN100335969C (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning

Publications (2)

Publication Number Publication Date
CN101005024A CN101005024A (en) 2007-07-25
CN101005024B true CN101005024B (en) 2011-06-08

Family

ID=29250913

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2007100083254A Expired - Fee Related CN101005024B (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning
CNB038081466A Withdrawn - After Issue CN100335969C (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB038081466A Withdrawn - After Issue CN100335969C (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning

Country Status (7)

Country Link
EP (1) EP1495366A1 (en)
JP (1) JP4424998B2 (en)
KR (1) KR100969027B1 (en)
CN (2) CN101005024B (en)
AU (1) AU2003226048A1 (en)
TW (1) TWI272693B (en)
WO (1) WO2003087936A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003226048A1 (en) * 2002-04-12 2003-10-27 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
US20050158664A1 (en) * 2004-01-20 2005-07-21 Joshua Tseng Method of integrating post-etching cleaning process with deposition for semiconductor device
JP4555698B2 (en) * 2005-01-27 2010-10-06 日本電信電話株式会社 Resist pattern forming method
JP4630077B2 (en) 2005-01-27 2011-02-09 日本電信電話株式会社 Resist pattern forming method
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
EP1877530A4 (en) * 2005-04-15 2010-06-09 Advanced Tech Materials Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP5247999B2 (en) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 Substrate processing method and computer-readable storage medium
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
JP5173396B2 (en) * 2007-12-25 2013-04-03 大陽日酸株式会社 Insulation film damage recovery method
JP6151484B2 (en) 2012-06-11 2017-06-21 東京応化工業株式会社 Lithographic cleaning liquid and wiring forming method
KR20200015279A (en) 2018-08-03 2020-02-12 삼성전자주식회사 Method for forming nanocrystalline graphene and device including the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304515A (en) * 1988-07-26 1994-04-19 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on substrate
EP0709635A1 (en) * 1994-10-25 1996-05-01 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
CN100335969C (en) * 2002-04-12 2007-09-05 东京毅力科创株式会社 Method of treatment of porous dielectric films to reduce damage during cleaning

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2262465A (en) * 1991-12-16 1993-06-23 Secr Defence Casting of aluminium-lithium alloys
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6890853B2 (en) 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304515A (en) * 1988-07-26 1994-04-19 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on substrate
EP0709635A1 (en) * 1994-10-25 1996-05-01 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
CN100335969C (en) * 2002-04-12 2007-09-05 东京毅力科创株式会社 Method of treatment of porous dielectric films to reduce damage during cleaning

Also Published As

Publication number Publication date
EP1495366A1 (en) 2005-01-12
AU2003226048A1 (en) 2003-10-27
TWI272693B (en) 2007-02-01
WO2003087936A1 (en) 2003-10-23
CN1646990A (en) 2005-07-27
KR20040111507A (en) 2004-12-31
CN101005024A (en) 2007-07-25
JP4424998B2 (en) 2010-03-03
TW200308051A (en) 2003-12-16
JP2005522737A (en) 2005-07-28
KR100969027B1 (en) 2010-07-09
CN100335969C (en) 2007-09-05

Similar Documents

Publication Publication Date Title
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US7553769B2 (en) Method for treating a dielectric film
US6979655B2 (en) Substrate processing method and substrate processing apparatus
KR100732256B1 (en) A method for processing a semiconductor substrate and a conditioning solution to be used thereto
CN1976003B (en) Semiconductor device manufacturing method and substrate processing system
US7122484B2 (en) Process for removing organic materials during formation of a metal interconnect
CN101005024B (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US6875709B2 (en) Application of a supercritical CO2 system for curing low k dielectric materials
Gale et al. Aqueous cleaning and surface conditioning processes
KR20080109886A (en) Method for removing damaged dielectric material
JP2005183937A (en) Manufacturing method of semiconductor device and cleaning device for removing resist
JP2001237236A (en) Cleaning method of substrate surfaces after etching process
US20070241455A1 (en) Method for forming dual damascenes with supercritical fluid treatments
US20060130966A1 (en) Method and system for flowing a supercritical fluid in a high pressure processing system
US6358329B1 (en) Resist residue removal apparatus and method
JP2002050600A (en) Substrate-processing method and substrate processor
US20050274393A1 (en) Wafer clean process
CN101627460B (en) Method of damaged low-k dielectric film layer removal
JP4541422B2 (en) Substrate processing apparatus and substrate processing method
US20060102591A1 (en) Method and system for treating a substrate using a supercritical fluid
KR20030095589A (en) Method For Manufacturing Semiconductors
JP2005197366A (en) Method for manufacturing semiconductor device, and substrate-processing device
WO1997012392A1 (en) Process for cleaning and drying semiconductors and equipment therfor
KR20010039694A (en) Liquid processing method and apparatus for processing object in treatment liquid
JP2000133629A (en) Substrate processor and its method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110608

Termination date: 20140411