CN100570893C - 半导体元件 - Google Patents

半导体元件 Download PDF

Info

Publication number
CN100570893C
CN100570893C CNB2007103059219A CN200710305921A CN100570893C CN 100570893 C CN100570893 C CN 100570893C CN B2007103059219 A CNB2007103059219 A CN B2007103059219A CN 200710305921 A CN200710305921 A CN 200710305921A CN 100570893 C CN100570893 C CN 100570893C
Authority
CN
China
Prior art keywords
edge
active area
layer
type active
distance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2007103059219A
Other languages
English (en)
Other versions
CN101226958A (zh
Inventor
庄学理
郑光茗
郭文晖
梁孟松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101226958A publication Critical patent/CN101226958A/zh
Application granted granted Critical
Publication of CN100570893C publication Critical patent/CN100570893C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种半导体元件,该半导体元件包括一基底;一有源区;一栅极区,位于该有源区上,且该栅极区的顶部具有一栅极电极;一源极/漏极区,形成在该栅极区的相对边,大体分别与该栅极区的边缘及该有源区的边缘对齐;以及一应变诱发层,具有一第一边缘及一第二边缘,大体顺应性地覆盖在该栅极区及该有源区上;其中该栅极区的边缘与该第一边缘间的间距大于约0.4微米;以及其中该有源区与该第二边缘的间距在约60纳米至约400纳米之间。增加沟道区中的载子移动率。改善驱动电流一致性,所增加的工艺步骤可轻易地整合至公知的CMOS工艺中。此外,用来定义拉伸与压缩应变诱发层的掩模不需对已存在的设计数据库作额外的设计。

Description

半导体元件
技术领域
本发明涉及半导体元件,特别涉及应变金属氧化物半导体晶体管(MOStransistors),其在源极/漏极区与栅极区上具有应变层,以增加沟道区的载子移动率。
背景技术
随着半导体集成电路元件尺寸持续地下降,在较小的电压与栅极尺寸下,维持高驱动电流(drive current)变得更为重要。元件驱动电流与栅极长度、栅极电容、及载子移动率(carrier mobility)关系密切。业界已针对此问题提出不同的革新技术。例如,使用应变硅技术在不缩短沟道长度下提高MOS晶体管的载子移动率。采用高介电常数(High-K)栅极介电材料以增加栅极电容。使用金属栅极电极以增加栅极电容,因而增加元件驱动电流。发展非平面元件结构,例如鳍式场效晶体管(FinFET)以使急遽的沟道长度缩小化。在这些努力中,应变硅技术可有效地增加载子移动率而不需增加太复杂的工艺。
关于应变硅技术,是使MOS晶体管中的硅原子偏离其原本的晶格位置。晶格位置的偏离显著地影响硅的能带结构以加快电子与空穴的流动。一种在MOS晶体管中形成应变的方法是在传统的MOS晶体管的源极/漏极区选择性地形成SiGe(silicon germanium)的外延层。由于SiGe的晶格常数大于硅,因此在两SiGe源极/漏极之间的沟道区处于压应力状态。此元件结构可提高沟道区中的空穴移动率,因此增加PMOS元件的驱动电流。相反地,硅层上可形成无压应力的SiGe层。接着形成MOS晶体管于硅层上。由于硅与SiGe间晶格常数不匹配,硅层处于平面双轴(biaxial,in-plane)拉伸应变。此元件结构具有增进NMOS元件的电子移动率的优点。
应变的施加也可通过形成应变层于MOS晶体管上。应变层通常也称作应变诱发(strain-induced)层、应力层、接触窗蚀刻停止(contact etching stop,CES)层、或CES处理层。在形成CES层时,沉积氮化硅膜于完成的MOS晶体管上以覆盖源极/漏极区、栅极电极、及间隙壁。由于CES层与下方材料层间的晶格间距不匹配,诱发了平面应力(in-plane stress)以匹配晶格间距。通过控制CES层中N-H、Si-H、及Si-N的键结比例及最佳化沉积条件,例如反应室中的功率、温度、及压力,如此所形成的CES层可呈现范围广大的不同薄膜应力(由拉应力至压应力)。沟道区中的平面拉应力已显示可增进电子移动率,因而可增加NMOS元件中的驱动电流,而平行于沟道长度方向的压应力可增进空穴移动率,因而可增进PMOS元件的效能。
图1显示现有技术中形成在基底1上相邻的应变NMOS及应变PMOS元件。基底1中形成有浅沟槽隔离10(shallow trench isolations,STI)以将NMOS元件及PMOS元件彼此隔离。NMOS元件上所形成的拉伸CES层16于沟道区13中引进平面拉应变,因此增进NMOS元件的驱动电流。PMOS元件上所形成的压缩CES层14于沟道区11中引进平面压应变,因此增进PMOS元件的驱动电流。虽然可观察到驱动电流的增进受CES层的参数影响(例如应力的程度、CES层的厚度、CES层的范围),但先前技术对于这些参数如何影响及用何种方式来影响个别形式的MOS晶体管中的驱动电流却揭示的很少。此情况使现今的CES应变硅技术维持在尝试错误的经验法则阶段,其中对于元件及工艺参数所能作的处理很少而无法最佳化地增进元件的驱动电流。此外,现有技术的CES应变MOS晶体管,驱动电流增强的一致性很低,且难以达到预期的驱动电流增加程度。可能会对于集成电路造成不利的影响,例如(switching threshold)扭曲、噪声容限(noise margin)恶化、元件延迟时间增加、及甚至逻辑崩溃(collapse of logic)。
鉴于这些及其它先前CES应变在增进载子移动率及元件效能上的困难,业界急需一种通过精确地调整先进MOS晶体管中CES层的参数,使驱动电流的增加具有一致性。
发明内容
本发明提供一种半导体元件,包括有源区,位于该有源区上且具有栅极电极于其顶部的栅极区,形成在栅极区的相对边的源极/漏极区,且大体分别与栅极区的边缘及有源区的边缘对齐,以及具有第一边缘及第二边缘的应变诱发层,大体顺应性地覆盖在栅极区及有源区上,其中栅极区的边缘与第一边缘间的间距大约0.4微米,以及其中有源区与第二边缘的间距在约60纳米至约400纳米之间。
本发明另提供一种半导体元件,包括形成于P型有源区中的第一PMOS晶体管,P型有源区具有第一边缘及第二边缘,而第一PMOS晶体管具有第一多晶硅栅极电极覆盖于P型有源区上,且平行于P型有源区的第一边缘,形成于N型有源区中的第一NMOS晶体管,第一NMOS晶体管具有第二多晶硅栅极电极覆盖于N型有源区上,以及具有第一边缘及第二边缘的第一压缩应力层,第一压缩应力层大体顺应性地覆盖于第一栅极电极及P型有源区上,其中第一栅极电极的边缘与第一压缩应力层的第一边缘间的间距大约0.4微米,以及P型有源区的边缘与第一压缩应力层的第二边缘间的间距在约60纳米至约400纳米之间。
本发明还提供一种半导体元件,包括覆盖于P型有源区上且具有多个多晶硅栅极电极于栅极区上的栅极区,形成于栅极区的相对边的源极/漏极区,大体分别与栅极区的边缘及P型有源区的边缘对齐,大体顺应性地覆盖于多晶硅栅极电极及P型有源区上的压缩应力层,且压缩应力层具有第一边缘及第二边缘,包围P型有源区的N阱区,其中多晶硅栅极电极的边缘与压缩应力层的第一边缘间的间距约1倍至约2倍的距离P与距离G中的较大距离,而距离P是多晶硅栅极电极的边缘中与P型有源区的边缘间的最小设计法则距离,距离G是P型有源区中的多晶硅栅极电极间的最小设计法则距离,以及P型有源区与压缩应力层的第二边缘的间距约三分之一倍至约三分之二倍的该距离L与该距离H的总和,而距离L是P型有源区的边缘至N阱区的边缘的最短距离,距离H是N型有源区的边缘与N阱区的边缘的最短距离。
本发明优选实施例的一优点是于CES应变PMOS元件中提供最佳化的驱动电流增加,而不需增加复杂的工艺步骤。此外,所增加的驱动电流具有一致性。
本发明优选实施例的另一优点是所增加的工艺步骤可轻易地整合至公知的CMOS工艺中。此外,用来定义拉伸与压缩应变诱发层的掩模不需对已存在的设计数据库作额外的设计。对于设计及布局工程师而言没有额外的设计法则需考虑。
为让本发明的上述和其它目的、特征、和优点能更明显易懂,下文特举出优选实施例,并配合所附附图,作详细说明如下。
附图说明
图1显示先前技术中形成在硅基底上的应变NMOS及PMOS元件的剖面图。
图2显示优选实施例中CES应变PMOS晶体管P1的形成。
图3A显示优选实施例中具有CES应变层形成于其上的PMOS晶体管P1的剖面图。
图3B显示图3A中CES应变PMOS晶体管P1的俯视图。
图4A-4B显示用以获得水平距离ENx及垂直距离ENy的最佳化范围的晶片允收测试(WAT)的结果。
图5A-5B显示所形成具有最佳化水平距离ENx及垂直距离ENy的CES应变PMOS元件,且还呈现改善的驱动电流一致性。
图6A-6B显示最佳化水平距离ENx与垂直距离ENy、最小设计法则的尺寸、与一些工艺技术的间距(spacings)之间数量上的关系。
图7显示优选实施例中于CMOS工艺中形成CES层的工艺流程图。
图8A-8H显示对应至如图7所述的工艺步骤的结构剖面图。
图9显示优选实施例中具有旋转方位的CES应变PMOS晶体管。
其中,附图标记说明如下:
1~基底;                 10~浅沟槽隔离;
16~拉伸CES层;           11、13~沟道区;
14~压缩CES层(或应变诱发层);
P1、N1~晶体管;          2~N阱;
4~栅极介电层;           6~栅极电极;
8~间隙壁;               12~源极/漏极区;
OD~OD区;                ENx、ENx’~水平距离;
ENy、ENy’~垂直距离;    20、22、24、26、28、30~曲线;
P、G、L、H~距离;
P-OD~P型OD区;           N-OD~N型OD区;
12p、12n~源极/漏极区;    6p、6n~栅极区;
S11、S12、S13、S14~步骤;
IDL~层间介电层;
14’~拉伸层;             16’~压缩层。
具体实施方式
本发明将以具有最佳化驱动电流的CES应变NMOS及PMOS元件及其形成方法的特定优选实施例来作描述。也改善所形成MOS元件驱动电流上的一致性。以下将针对本发明优选实施例的工艺步骤作描述。并讨论优选实施例的可能的变化。遍及实施例的各种附图中,相似的标号用以代表相似的元件。
图2显示优选实施例中CES应变PMOS晶体管P1的形成。晶体管P1形成在N阱2中,N阱2则形成在基底1上。在其它实施例中,晶体管P1形成在N型硅基底块材上。在另一实施例中,基底材质是应变半导体、化合物半导体、多层半导体、绝缘层上覆硅、应变绝缘层上覆硅、应变绝缘层上覆硅锗、绝缘层上覆硅锗、绝缘层上覆锗、前述的组合、或前述的相似物,可用以形成晶体管P1于其中。形成浅沟槽隔离10于基底1中以将晶体管P1与相邻的元件隔绝。浅沟槽隔离10优选通过于基底1中蚀刻浅沟槽,并接着以绝缘材料(例如氧化硅)填充浅沟槽而形成。
接着沉积栅极介电层4于基底1的表面。栅极介电层4可优选为氧化硅,可以任何所知的方法来形成,例如热氧化、区域硅氧化(LOCOS)、化学气相沉积等。也可使用氮化硅,因为氮化硅是对于杂质扩散的有效阻障。优选通过硅的热氮化(thermal nitridation)来形成氮化硅。也可使用氮气-氢气通过等离子体阳极氮化(plasma anodic nitridation)来准备。氮化硅层也可通过对氧化硅热氮化来形成。栅极介电层4也可为氧-氮介电层、含氧介电层、含氮介电层、高介电常数材料层、或前述的组合。
接着,形成栅极电极6于栅极介电层4上。栅极电极6优选为多晶硅(polysilicon,或poly),虽然也可为金属、包含金属的复合结构、半导体、金属氧化物、硅化物、及/或前述的组合。优选的形成方法是使用化学气相沉积。其它实施例可使用非晶硅、可导电的元素金属、可导电的元素金属合金、或前述的组合。通常,栅极电极6与栅极介电层会先被以层状形式沉积,并接着将其图案化以形成栅极。沿着栅极介电层4与栅极电极6的侧壁形成有一组间隙壁8。如此技术领域的技术人员所知,间隙壁8优选先通过毯覆式沉积介电层于整个区域,接着以非等向性蚀刻移除水平表面的介电层而留下间隙壁8。
在优选实施例中,源极/漏极区通过注入P型杂质(例如硼)于N阱2中而形成,其中间隙壁8作为屏蔽,因此源极/漏极区12的边缘大体与间隙壁8对齐。优选也对栅极电极6注入以减小片电阻。在其它实施例中,源极/漏极区12的形成是通过先于源极/漏极区12形成凹陷,接着外延生长具有所需掺杂物(dopant)的硅、硅锗、或碳化硅于凹陷中。此种结构提供压应力于PMOS元件的沟道中,并增进空穴的移动率。在其它实施例中,源极/漏极区12是通过外延生长具有所需掺杂物的硅、硅锗、或碳化硅于基底1的顶表面而形成。优选形成氧化硅膜于无源极/漏极区形成的区域。接下来沉积于氧化硅膜上的外延层便可除去。在源极/漏极区中(即所显露的基底表面),便可生长外延层。
为了减小栅极电极6与源极/漏极区12的电阻,可选择性地通过硅化工艺于栅极电极6与源极/漏极区的顶部形成硅化层(未显示)。硅化层优选为硅化镍、硅化钴、硅化钛、或其相似物。为了形成硅化层,首先溅镀金属薄层于元件上,例如钴、镍、钛、或其相似物。接着对元件热处理以于所沉积的金属层与其下露出的硅区域形成硅化层。未反应的金属可通过蚀刻工艺而移除。
接着,如图3A所示的剖面图,形成应变诱发层14。虽然此层优选同时是接触窗蚀刻停止层(CES)并于下文中也称为压缩CES层14,此层仍可为任何的应变层或甚至不具有蚀刻停止功能的材料层。如上所述,此层为了增强元件的效能是可诱发应变的。应变(也有时称为应力)的形式及强度是由沉积工艺与所使用的材料来决定。一般而言,假使应变材料具有较其下材料为小的晶格常数时,在平衡之后应变材料会具有内在的压缩应变,而其下材料会具有内在的拉伸应变。相反地,假使应变材料具有较其下材料为大的晶格常数时,在平衡后应变材料会具有内在的拉伸应变,而其下材料会具有内在的压缩应变。
在图3A中,压缩CES层14优选的材质例如是氮化硅、氮氧化物、氧化物、硅锗、或前述的组合,以对PMOS元件的沟道区产生压缩应变。在其它实施例中,应变层的材质可例如是氮化硅、氮氧化物、氧化物、SiC、SiCN、CoSi2(钴硅化物)、NiSi2(镍硅化物)、或前述的组合,以对NMOS元件的沟道区产生拉伸应变。如此技术领域的技术人员所知,应变的形式与大小受压缩CES层14与其下材料间的相对性质影响。
应变也可通过压缩CES层14下方材料的杂质的形式与浓度而调整,包括形成外延层(未显示)于源极/漏极区12中。在一优选实施例中,形成硅锗外延层于源极/漏极区12中,一般会增加材料的晶格常数(因为锗具有较大的原子半径)。此种结构提供压应力于PMOS元件的沟道而增进空穴的移动率。在另一实施例中,形成碳化硅外延层于NMOS元件的源极/漏极区,一般会减小材料的晶格常数(因为碳具有较小的原子半径)。此种结构提供拉应力于NMOS元件的沟道而增进电子的移动率。
在优选实施例中,压缩CES层14包括介电材料。在另一实施例中,压缩CES层14包括半导体、金属、或前述的组合。压缩CES层14也可为单层或复合层。这种材料的一优点(如以下所解释)是这些材料在沉积时具有内在的应力,而会诱发其下的材料产生应变。在优选实施例中,压缩CES层14具有厚度约5纳米至约500纳米。
图3B显示如图3A所示应变PMOS晶体管P1的俯视图。压缩CES层14形成在有源区上,有源区包括源极/漏极区12及沟道区11。有源区定义了MOS晶体管的尺寸,随后将称之为“OD”区。压缩CES层14也可覆盖N阱2区,如图3A所示。虽然压缩CES层14显示为单层,也可形成为具有不同材料层。在优选实施例中,使用称为PILD的掩模来将压缩CES层14图案化。为了阐明叙述,多晶硅栅极电极6边缘与压缩CES层14边缘之间的水平距离随后将称之为ENx。OD区边缘与应变层14(或压缩CES层14)边缘之间的垂直距离随后将称之为ENy。由优选实施例显示每个技术时代中,压缩CES层14具有特定范围的水平距离ENx及垂直距离ENy时,可导致PMOS元件的驱动电流增加最佳化并改善驱动电流的一致性。相较于没有对水平距离ENx及垂直距离ENy作限制的CES应变MOS晶体管。不具有对于CES层尺寸作限制的CES应变PMOS元件将随后称之为基线(baseline)PMOS元件。
在优选实施例中,水平距离ENx及垂直距离ENy的最佳化范围且对应至技术时代的数值是通过对多个CES应变核心(core)晶体管(例如显示于图3B的晶体管)进行晶片允收测试(WAT)而获得。核心晶体管具有一般的MOS晶体管结构,为单一多晶硅栅极形成在OD区上。此处所称的核心晶体管是为了与其它具有复杂结构的MOS晶体管作区别,例如具有多重多晶硅栅极结构,及于OD区具有单一多晶硅栅极与多重虚设多晶硅指状结构的栅极结构。
为了获得某个技术时代的水平距离ENx及垂直距离ENy的最佳化范围,形成多个CES应变PMOS核心晶体管于硅晶片的切割在线。这些晶体管具有特定技术时代所允许的最短沟道长度(有时称作晶体管具有“on rule”沟道长度)及多种数值的沟道宽度。在一实施例中,提供了多个CES应变PMOS核心晶体管,具有沟道长度65纳米及沟道宽度(W)1微米、0.6微米、及0.14微米。这些晶体管还具有约70纳米的固定垂直距离ENy及不同尺寸的水平距离ENx。测量每个晶体管的驱动电流(Idsat)以期获得对应至最大Idsat增加的水平距离ENy。图4A显示晶片允收(WAT)测试的结果。曲线图具有水平距离ENx的数值于水平坐标轴,及Idsat增加率的数值(相对于前述的基线PMOS元件的Idsat增加率)于垂直坐标轴,两坐标轴的尺度都是线性的。曲线20、22、及24显示于基线PMOS元件相对于水平距离ENx的驱动电流增加。曲线20、22、及24分别对应至具有沟道宽度0.6微米、1微米、及0.14微米的晶体管。曲线图显示当水平距离ENx到达0.4微米时,沟道区中的Idsat获得显著的增加。当水平距离ENx增加时,沟道区中的Idsat持续增加。当水平距离ENx到达1.8微米时,具有不同沟道宽度的PMOS晶体管获得最大的Idsat增加。当水平距离继续增加时,Idsat大体维持不变。
接着执行相似的测试以获得垂直距离ENy的最佳化范围。在一实施例中,用以获得垂直距离ENy最佳范围的PMOS晶体管具有约0.5微米的固定水平距离ENx及不同尺寸的垂直距离ENy。图4B显示测量具有不同垂直距离ENy数值的驱动电流的结果。曲线图具有垂直距离ENy的数值于指数尺度的水平坐标轴,及驱动电流(Idsat)增加率的数值(相对于前述的基线PMOS元件的Idsat增加率)于线性尺度的垂直坐标轴。曲线26、28、及30分别对应至图4A中形成曲线20、22、及24的晶体管。曲线图显示当垂直距离ENy到达60纳米时,沟道区中的Idsat获得显著的增加。当垂直距离ENy增加时,沟道区中的Idsat持续增加。当垂直距离ENy到达约200纳米时,驱动电流Idsat达最大值。然而,当垂直距离ENy超过400纳米时,Idsat显著地下降。
图5A-5B显示所形成具有最佳化水平距离ENx及垂直距离ENy的CES应变PMOS元件,且增进的驱动电流还呈现优选的一致性。在图5A中,单一多晶硅栅极电极6的PMOS晶体管P1被形成在OD区上。晶体管P1栅极长度0.14微米与栅极宽度0.4微米。多晶硅至OD区的距离是0.5微米。提供了具有上述的尺寸的第一多个PMOS元件样本。形成具有所需水平长度ENx(约0.7微米)及所需垂直长度ENy(约70纳米)的压缩CES层14于每个PMOS元件样本上,而覆盖OD区。测量每个样本的Idsat并将之标作图5B中的正方形空心点。图5B的垂直轴代表累积比例(cumulative percentage),用以显示所测量驱动电流Idsat的分布。图5B中的菱形实心点是测量自第二多个现有技术CES应变PMOS元件对照组的Idsat数值,对照组的PMOS元件没有水平距离ENx及垂直距离ENy在尺寸上的限制。可从图5B发现本发明的CES应变PMOS元件实施例的Idsat具有从约480μA/μm至约550μA/μm的Idsat分布,而现有技术的CES应变元件具有从约450μA/μm至约580μA/μm的Idsat分布。Idsat的一致性(超过平均值的标准差商数)增进到约7%至约4%。通过与不同结构的PMOS元件(例如具有多重多晶硅指状结构的PMOS、具有形成在OD区上多重虚设多晶硅指状结构的PMOS、或具有许多种多晶硅间距的上述PMOS结构)作相似的比较,也发现相同的趋势。
图6A-6B显示最佳化水平距离ENx及垂直距离ENy、最小设计法则的尺寸、与一些工艺技术的间距(spacings)之间数量上的关系。这些限制通过相似于前述的晶片允收测试(WAT)而获得,并以如下所述的方式套用至实际工艺中。图6A显示对应至最佳化驱动电流增加及最佳化驱动电流一致性的最佳化水平距离ENx是在距离P或距离G(视距离P或距离G哪个较大)的1倍至2倍的范围,其中距离P是多晶硅栅极电极6至P型OD区P-OD边界的最短距离,而距离G是P型OD区P-OD上多晶硅栅极电极-多晶硅栅极电极的最短间距。图6B显示导致最佳化驱动电流增加及最佳化驱动电流一致性的垂直距离ENy落于距离L与距离H的总和的约三分之一至约三分之二倍的范围内,其中距离L是P型OD区P-OD至N阱2边界的最短距离,而距离H是N型OD区N-OD与N阱2间的最短间距。
CES应变NMOS核心晶体管已使用相似的晶片允收测试(WAT)作测试。在多种结构的多个NMOS晶体管上形成CES层以于沟道区中形成平面拉伸应变。虽然可发现相似的趋势,即水平距离ENx与垂直距离ENy在如上述的一范围内可导致增进的驱动电流增加与改善的驱动电流一致性,但所增进的效应较CES应变PMOS晶体管不显著。
图7显示优选实施例中于CMOS工艺中形成CES层的工艺流程图。图8A-8F显示在图7中所述的工艺步骤完成后的结构的剖面图。为了简化叙述,每个剖面图中仅显示邻接至一NMOS晶体管N1的PMOS晶体管P1。应了解的是施加至晶体管P1的工艺步骤也施加至基底1上的所有PMOS晶体管,而施加至晶体管N1的工艺步骤也施加至基底1上的所有NMOS晶体管。
图8A显示部分的起始基底,其中PMOS晶体管P1与NMOS晶体管N1已通过公知的CMOS工艺形成在半导体基底1中。晶体管P1与晶体管N1分别具有源极/漏极区12p与12n,以与栅极区6p与6n。并使用浅沟槽隔离10来隔离晶体管P1与晶体管N1。
根据图7的步骤STEP11,形成拉伸CES层16于基底上,以期于晶体管N1的沟道区13形成拉伸应变。拉伸CES层16的材质例如是氮化硅、氮氧化物、氧化物、SiC、SiCN、CoSi2(钴硅化物)、NiSi2(镍硅化物)、或前述的组合。在一优选实施例中,拉伸CES层16具有厚度约5纳米至约500纳米。基底在经历步骤S11后的结构显示于图8B中。
在图7的步骤S12,形成掩模NILD并执行光刻工艺以将NMOS晶体管N1上的拉伸CES层16图案化为具有所需水平距离ENx及垂直距离ENy。在形成NILD掩模时,提供NMOS元件所需的水平距离ENx及垂直距离ENy(如前述通过对NMOS核心晶体管进行晶片允收测试而获得)至自动掩模产生工艺中(此技术领域的技术人员也称作逻辑运算工艺)。也输入所述逻辑运算工艺(logical operation process)的是基底上的N型OD区N-OD、P阱区、及多晶硅区的布局(layout)信息,如此技术领域的技术人员所知,布局信息通常包含在由集成电路产品的布局设计者所提供的完成设计数据库中。逻辑运算工艺会首先确认基底上N型OD区N-OD的位置,即形成NMOS元件的位置。逻辑运算工艺会接着确认前述N型OD区N-OD上多晶硅栅极区6n的位置。接着,逻辑运算工艺会形成光刻图案,而使其水平边缘与N型OD区N-OD边缘间的距离是垂直距离ENy,而其垂直边缘至多晶硅栅极区6n边缘的距离是水平距离ENx。其它由逻辑运算工艺执行的运作包括合并两具有重叠边缘的相同应力CES层的图案,及合并两相同应力形式的相邻CES层的图案,当他们边缘间的间距小于预设的距离时。如此技术领域的技术人员所知,在制作掩模时,可使用光学微距校正法(optical proximity correction,OPC)来考虑由光刻系统导入的错误。可使用所知的光刻及蚀刻工艺来将晶体管N1上的拉伸CES层16图案化。所得结果的剖面图及俯视图都显示于图8C中。
接着,如图7所述的步骤S13,形成压缩CES层14于基底上以期于PMOS晶体管P1的沟道区11形成压缩应变。压缩CES层14的材质例如是氮化硅、氮氧化物、氧化物、硅锗、或前述的组合。在一优选实施例中,压缩CES层14的厚度约与拉伸CES层16相同。在其它实施例中,为了平衡晶体管P1及晶体管N1间的驱动电流,压缩CES层14的厚度可大体与拉伸CES层16不同。基底在经历步骤S13后的结构显示于图8D中。
在图7的步骤S14中,形成掩模PILD并执行光刻工艺以将PMOS元件上的压缩CES层14图案化为具有所需的水平距离ENx’及垂直距离ENy’。此时,首先提供对应至能最佳化PMOS效能的所需水平距离ENx’及垂直距离ENy’至逻辑运算工艺,并一起提供基底上P型OD区P-OD、N阱区、及多晶硅区的布局信息。逻辑运算工艺首先会确认基底上P型OD区P-OD的位置,即形成PMOS元件的位置。逻辑运算工艺接着会确认前述P型OD区P-OD上的多晶硅栅极区6p的位置。接着,逻辑运算工艺会形成光刻图案,而使其水平边缘与P型OD区P-OD边缘间的距离是垂直距离ENy’,而其垂直边缘至多晶硅栅极边缘的距离是水平距离ENx’。在形成掩模PILD后,可使用所知的光刻及蚀刻工艺将晶体管P1上的压缩CES层14图案化。其结果的剖面图及俯视图都显示于图8E中。
在于PMOS元件上形成图案化压缩CES层14及于NMOS元件上形成图案化拉伸CES层16后,通过CVD毯覆式沉积氧化硅以形成第一层间介电层ILD,虽然也不排除使用其它已知的形成层间介电层ILD的材料及方法。接着可实施平坦化工艺(例如化学机械研磨)来形成平坦的基底表面,如图8F所示。从此之后,可继续公知的CMOS工艺,例如切出穿过层间介电层ILD的接触窗开口于源极/漏极区12p与12n与栅极区6p与6n等需要形成接点处。
可了解的是在目前的工艺之后,形成在基底1表面的CES层可具有以下的横向结构。在相同导电形式的MOS晶体管之间,相邻的CES层可处于拉伸CES层-层间介电层-拉伸CES层的横向结构或压缩CES层-层间介电层-压缩CES层的横向结构。而在相反导电形式的MOS晶体管之间,相邻的CES层具有压缩CES层-层间介电层-拉伸CES层的横向结构。
在其它实施例中,在于PMOS元件上形成图案化压缩CES层14及于NMOS元件上形成图案化拉伸CES层16后,可形成拉伸层14’或压缩层16’来填充基底1表面上相邻CES层之间的横向空间以平衡压缩CES层14及拉伸CES层16中的应力,而达到相邻NMOS元件与PMOS元件间的所需的驱动电流平衡。
在又一实施例中,通过上述的工艺于半导体基底上形成多个PMOS晶体管及多个NMOS晶体管。每个PMOS晶体管及NMOS晶体管接着分别覆盖了压缩CES层及拉伸CES层,并具有所需的水平距离ENx及垂直距离ENy。在此实施例中,相邻MOS元件间的CES层的横向结构的应力状态可包括拉伸-压缩-拉伸、压缩-拉伸-压缩、拉伸-拉伸-拉伸、压缩-压缩-压缩、拉伸-压缩-压缩、或压缩-拉伸-拉伸。相邻MOS元件间的可能的不同CES层横向结构(包括压缩CES层14、层间介电层IDL、拉伸层14’、压缩层16’、及拉伸CES层16)显示于图8G中。
在另一实施例中,先通过上述逻辑运算工艺形成PILD掩模以将压缩CES层14图案化而最佳化PMOS的效能。接着形成NILD掩模以作为PILD的反相掩模,由此省去形成专用NILD掩模的花费。在显示于图7的工艺完成之后,CES层如图8H所示覆盖整个基底。此种CES层结构给予NMOS元件效能上很小的负面冲击,因为如上所述NMOS元件对于形成于其上具有水平距离ENx及垂直距离ENy的拉伸CES层16的影响较不明显。替代地,所形成的CES层可减缓上述实施例所造成可靠度上的疑虑,例如相邻CES层边缘间的孔洞或随后工艺期间所造成的薄膜边缘剥落。
又另一实施例中,通过逻辑运算工艺形成单一PILD掩模以将压缩CES层14图案化以最佳化PMOS元件的效能。于负型光致抗蚀剂使用相同的掩模第二次以将拉伸CES层16图案化。不需形成NILD掩模,因而更进一步减少形成掩模的花费。
此技术领域的技术人员当可了解本发明优选实施例增强了元件的效能,且不需增加复杂的工艺步骤。而且,所增加的工艺步骤可轻易地整合至公知的CMOS工艺中。此外,NILD掩模及PILD掩模的形成不需要对已存在的设计数据库作额外的加工或改变。对应至某个技术时代的最佳化水平距离ENx及垂直距离ENy可使用相同技术而应用至所有的设计。对于设计及布局工程师而言没有额外的设计法则需考虑。
虽然本发明实施例及其优点已详细叙述,应了解的是可在不脱离本发明的精神(如权利要求书所定义)下作多种的改变、替代、及修改。例如图9所示,CES应变PMOS晶体管P1可旋转偏离先前实施例的方位,而使多晶硅栅极电极6、P型OD区P-OD、及压缩CES层14的相对边缘不与水平方向及垂直方向对齐。在此状况中,水平距离ENx是P型OD区P-OD边缘与压缩CES层14间的最短距离。另外,此技术领域的技术人员可轻易了解形成优选实施例的材料、工艺步骤、或工艺参数可在不脱离本发明精神下作改变。
虽然本发明已以多个优选实施例揭示如上,然而其并非用以限定本发明,任何所属技术领域中具有通常知识的人员,在不脱离本发明的精神和范围内,当可作任意的变动与润饰。因此本发明的保护范围应当视后附的权利要求书为准。

Claims (10)

1.一种半导体元件,包括:
一基底;
一有源区;
一栅极区,位于该有源区上,且该栅极区的顶部具有一栅极电极;
一源极/漏极区,形成在该栅极区的相对边,大体分别与该栅极区的边缘及该有源区的边缘对齐;以及
一应变诱发层,具有一第一边缘及一第二边缘,大体顺应性地覆盖在该栅极区及该有源区上;
其中该半导体元件是一PMOS晶体管;
其中该栅极区的边缘与该第一边缘间的间距大于0.4微米;以及
其中该有源区与该第二边缘的间距在60纳米至400纳米之间。
2.如权利要求1所述的半导体元件,其特征是该应变诱发层是一压缩应力层。
3.如权利要求1所述的半导体元件,其特征是该应变诱发层具有一多层结构。
4.一种半导体元件,包括:
一第一PMOS晶体管,形成于一P型有源区中,该P型有源区具有一第一边缘及一第二边缘,该第一PMOS晶体管具有一第一多晶硅栅极电极覆盖于该P型有源区上且平行于该P型有源区的该第一边缘;
一第一NMOS晶体管,形成于一N型有源区中,该第一NMOS晶体管具有一第二多晶硅栅极电极覆盖于该N型有源区上;以及
一第一压缩应力层,具有一第一边缘及一第二边缘,该第一压缩应力层大体顺应性地覆盖于该第一栅极电极及该P型有源区上;
其中该第一栅极电极的边缘与该第一压缩应力层的该第一边缘间的间距大于0.4微米;以及
其中该P型有源区的边缘与该第一压缩应力层的该第二边缘间的间距在60纳米至400纳米之间。
5.如权利要求4所述的半导体元件,其特征是该第一NMOS晶体管还包括一第一拉伸应力层,该第一拉伸应力层大体顺应性地覆盖在该第二栅极电极及该N型有源区上。
6.如权利要求5所述的半导体元件,其特征是该第一拉伸应力层与该第一压缩应力层间的横向空间填充了一层间介电层,该层间介电层具有拉伸应力的介电层或具有压缩应力的介电层。
7.如权利要求5所述的半导体元件,还包括一第二PMOS晶体管及一第二NMOS晶体管,分别被一第二压缩应力层及一第二拉伸应力层所覆盖。
8.如权利要求5所述的半导体元件,其特征是该第一拉伸应力层的厚度不同于该第一压缩应力层。
9.一种半导体元件,包括:
一栅极区,覆盖于P型有源区上且具有多个多晶硅栅极电极于该栅极区上;
一源极/漏极区,形成于该栅极区的相对边,大体分别与该栅极区的边缘及该P型有源区的边缘对齐;
一压缩应力层,大体顺应性地覆盖于这些多晶硅栅极电极及该P型有源区上,且该压缩应力层具有一第一边缘及一第二边缘;
一N阱区,包围该P型有源区;
一距离P,该距离P是这些多晶硅栅极电极的边缘中与该P型有源区的边缘间的最小设计法则距离;
一距离G,该距离G是该P型有源区中的这些多晶硅栅极电极间的最小设计法则距离;
一距离L,该距离L是该P型有源区的边缘至该N阱区的边缘的最短距离;以及
一距离H,该距离H是N型有源区的边缘与该N阱区的边缘的最短距离;
其中该多晶硅栅极电极的边缘与该压缩应力层的该第一边缘间的间距是1倍至2倍的该距离P与该距离G中的较大距离;以及
其中该P型有源区与该压缩应力层的该第二边缘的间距是该距离L与该距离H的总和的三分之一至三分之二。
10.如权利要求9所述的半导体元件,其特征是该PMOS晶体管具有一旋转的方位,以致于该多晶硅栅极电极的相对边缘不与垂直方向及水平方向对齐。
CNB2007103059219A 2007-01-16 2007-12-28 半导体元件 Expired - Fee Related CN100570893C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US88056307P 2007-01-16 2007-01-16
US60/880,563 2007-01-16
US11/849,798 2007-09-04

Publications (2)

Publication Number Publication Date
CN101226958A CN101226958A (zh) 2008-07-23
CN100570893C true CN100570893C (zh) 2009-12-16

Family

ID=39617084

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007103059219A Expired - Fee Related CN100570893C (zh) 2007-01-16 2007-12-28 半导体元件

Country Status (3)

Country Link
US (1) US8558278B2 (zh)
CN (1) CN100570893C (zh)
TW (1) TWI374541B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7834399B2 (en) * 2007-06-05 2010-11-16 International Business Machines Corporation Dual stress memorization technique for CMOS application
US7910994B2 (en) * 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
US8122394B2 (en) 2008-09-17 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Performance-aware logic operations for generating masks
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8357617B2 (en) * 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
DE102008059498B4 (de) * 2008-11-28 2012-12-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Beschränkung von Verspannungsschichten, die in der Kontaktebene eines Halbleiterbauelements gebildet sind
JP5499641B2 (ja) * 2009-11-04 2014-05-21 富士通セミコンダクター株式会社 半導体装置及びその設計方法並びに半導体装置の製造方法
CN106847816A (zh) * 2010-02-05 2017-06-13 株式会社半导体能源研究所 半导体装置
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
CN102339852B (zh) * 2010-07-27 2013-03-27 中国科学院微电子研究所 半导体器件及其制造方法
CN102339860B (zh) * 2010-07-27 2013-03-27 中国科学院微电子研究所 半导体器件及其制造方法
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US9123558B2 (en) 2011-06-20 2015-09-01 Mediatek Inc. Bipolar junction transistor
US8558290B2 (en) * 2011-08-25 2013-10-15 Globalfoundries Inc. Semiconductor device with dual metal silicide regions and methods of making same
US8648388B2 (en) 2012-02-15 2014-02-11 International Business Machines Corporation High performance multi-finger strained silicon germanium channel PFET and method of fabrication
CN103824775B (zh) * 2012-11-16 2018-04-24 中国科学院微电子研究所 FinFET及其制造方法
CN103247649A (zh) * 2013-05-07 2013-08-14 上海华力微电子有限公司 减小图像传感器电学互扰的方法
CN104218082B (zh) * 2013-06-04 2017-08-25 中芯国际集成电路制造(上海)有限公司 高迁移率鳍型场效应晶体管及其制造方法
KR102089682B1 (ko) * 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9245887B2 (en) * 2013-07-31 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US9224734B2 (en) * 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
US9437738B2 (en) * 2014-02-07 2016-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with heterostructure channel
CN105097806A (zh) * 2014-04-24 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件和电子装置
US9496257B2 (en) 2014-06-30 2016-11-15 International Business Machines Corporation Removal of semiconductor growth defects
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
US20180069035A1 (en) * 2016-09-02 2018-03-08 Newport Fab, LLC dba Jazz Semiconductor, Inc. Tensile Contact Etch Stop Layer (CESL) For Radio Frequency (RF) Silicon-On-Insulator (SOI) Switch Technology
CN108461493A (zh) 2018-01-05 2018-08-28 上海和辉光电有限公司 一种共栅晶体管、像素电路、像素结构及显示面板

Family Cites Families (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4069094A (en) 1976-12-30 1978-01-17 Rca Corporation Method of manufacturing apertured aluminum oxide substrates
JPS551103A (en) 1978-06-06 1980-01-07 Chiyou Lsi Gijutsu Kenkyu Kumiai Semiconductor resistor
US4497683A (en) 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
US4631803A (en) 1985-02-14 1986-12-30 Texas Instruments Incorporated Method of fabricating defect free trench isolation devices
US4892614A (en) 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
JPH0640583B2 (ja) 1987-07-16 1994-05-25 株式会社東芝 半導体装置の製造方法
US4946799A (en) 1988-07-08 1990-08-07 Texas Instruments, Incorporated Process for making high performance silicon-on-insulator transistor with body node to source node connection
JPH0394479A (ja) 1989-06-30 1991-04-19 Hitachi Ltd 感光性を有する半導体装置
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JP3019430B2 (ja) 1991-01-21 2000-03-13 ソニー株式会社 半導体集積回路装置
US5525828A (en) 1991-10-31 1996-06-11 International Business Machines Corporation High speed silicon-based lateral junction photodetectors having recessed electrodes and thick oxide to reduce fringing fields
US5338960A (en) 1992-08-05 1994-08-16 Harris Corporation Formation of dual polarity source/drain extensions in lateral complementary channel MOS architectures
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5273915A (en) 1992-10-05 1993-12-28 Motorola, Inc. Method for fabricating bipolar junction and MOS transistors on SOI
US5596529A (en) 1993-11-30 1997-01-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
KR950034754A (ko) 1994-05-06 1995-12-28 윌리엄 이. 힐러 폴리실리콘 저항을 형성하는 방법 및 이 방법으로부터 제조된 저항
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US6433382B1 (en) 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5596524A (en) * 1995-04-21 1997-01-21 Advanced Micro Devices, Inc. CMOS memory cell with gate oxide of both NMOS and PMOS transistors as tunneling window for program and erase
US5629544A (en) 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5783850A (en) 1995-04-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Undoped polysilicon gate process for NMOS ESD protection circuits
US5955766A (en) 1995-06-12 1999-09-21 Kabushiki Kaisha Toshiba Diode with controlled breakdown
US5708288A (en) 1995-11-02 1998-01-13 Motorola, Inc. Thin film silicon on insulator semiconductor integrated circuit with electrostatic damage protection and method
US6396506B1 (en) 1996-03-15 2002-05-28 Hitachi, Ltd. Display operation method to change the number of images to be displayed and to independently change image direction and rotation of each image
DE69624107T2 (de) 1996-07-18 2003-06-05 St Microelectronics Srl Flash-EEPROM-Zelle mit einziger Polysiliziumschicht und Verfahren zur Herstellung
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5789807A (en) 1996-10-15 1998-08-04 International Business Machines Corporation On-chip power distribution for improved decoupling
US5811857A (en) 1996-10-22 1998-09-22 International Business Machines Corporation Silicon-on-insulator body-coupled gated diode for electrostatic discharge (ESD) and analog applications
US5753948A (en) * 1996-11-19 1998-05-19 International Business Machines Corporation Advanced damascene planar stack capacitor fabrication method
US5763315A (en) 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
DE19720008A1 (de) 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6027988A (en) 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US5894152A (en) 1997-06-18 1999-04-13 International Business Machines Corporation SOI/bulk hybrid substrate and method of forming the same
KR100400808B1 (ko) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 그레이드된 GeSi층 및 평탄화를 사용한 Si상의 Ge의 쓰레딩 전위 밀도 제어
US6096591A (en) 1997-06-30 2000-08-01 Advanced Micro Devices, Inc. Method of making an IGFET and a protected resistor with reduced processing steps
US6221709B1 (en) 1997-06-30 2001-04-24 Stmicroelectronics, Inc. Method of fabricating a CMOS integrated circuit device with LDD N-channel transistor and non-LDD P-channel transistor
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6495900B1 (en) 1997-11-12 2002-12-17 Micron Technology, Inc. Insulator for electrical structure
EP0923116A1 (en) 1997-12-12 1999-06-16 STMicroelectronics S.r.l. Process for manufacturing integrated multi-crystal silicon resistors in MOS technology and integrated MOS device comprising multi-crystal silicon resistors
US6100153A (en) 1998-01-20 2000-08-08 International Business Machines Corporation Reliable diffusion resistor and diffusion capacitor
US5972722A (en) 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
JP3265569B2 (ja) 1998-04-15 2002-03-11 日本電気株式会社 半導体装置及びその製造方法
US6558998B2 (en) 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
JP3403076B2 (ja) 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
US6100204A (en) 1998-07-28 2000-08-08 Advanced Micro Devices, Inc. Method of making ultra thin gate oxide using aluminum oxide
US6387739B1 (en) 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6008095A (en) 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US6015993A (en) 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
JP2000132990A (ja) 1998-10-27 2000-05-12 Fujitsu Ltd 冗長判定回路、半導体記憶装置及び冗長判定方法
US5965917A (en) 1999-01-04 1999-10-12 Advanced Micro Devices, Inc. Structure and method of formation of body contacts in SOI MOSFETS to elimate floating body effects
US6258664B1 (en) 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
TW403969B (en) 1999-04-09 2000-09-01 United Microelectronics Corp Method for manufacturing metal oxide semiconductor
US6358791B1 (en) 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6737710B2 (en) 1999-06-30 2004-05-18 Intel Corporation Transistor structure having silicide source/drain extensions
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6255175B1 (en) 2000-01-07 2001-07-03 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with minimized parasitic Miller capacitance
TW503439B (en) 2000-01-21 2002-09-21 United Microelectronics Corp Combination structure of passive element and logic circuit on silicon on insulator wafer
US6475838B1 (en) 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
US6396137B1 (en) 2000-03-15 2002-05-28 Kevin Mark Klughart Integrated voltage/current/power regulator/switch system and method
JP3504212B2 (ja) 2000-04-04 2004-03-08 シャープ株式会社 Soi構造の半導体装置
US6420264B1 (en) 2000-04-12 2002-07-16 Ultratech Stepper, Inc. Method of forming a silicide region in a Si substrate and a device having same
US6420218B1 (en) 2000-04-24 2002-07-16 Advanced Micro Devices, Inc. Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US6281059B1 (en) 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
DE10025264A1 (de) 2000-05-22 2001-11-29 Max Planck Gesellschaft Feldeffekt-Transistor auf der Basis von eingebetteten Clusterstrukturen und Verfahren zu seiner Herstellung
JP2001338988A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
AU2001263211A1 (en) 2000-05-26 2001-12-11 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
KR100393205B1 (ko) 2000-05-30 2003-07-31 삼성전자주식회사 자기정렬 콘택구조를 가진 메모리영역과 샐리사이디드된듀얼 게이트 구조의 로직영역이 병합된 mml 반도체소자 및 그 제조방법
JP3843708B2 (ja) 2000-07-14 2006-11-08 日本電気株式会社 半導体装置およびその製造方法ならびに薄膜コンデンサ
JP2002043576A (ja) 2000-07-24 2002-02-08 Univ Tohoku 半導体装置
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
FR2812764B1 (fr) 2000-08-02 2003-01-24 St Microelectronics Sa Procede de fabrication d'un substrat de type substrat-sur- isolant ou substrat-sur-vide et dispositif obtenu
JP2002076287A (ja) 2000-08-28 2002-03-15 Nec Kansai Ltd 半導体装置およびその製造方法
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
KR100784603B1 (ko) 2000-11-22 2007-12-11 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
EP1399970A2 (en) 2000-12-04 2004-03-24 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6414355B1 (en) 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US6894324B2 (en) 2001-02-15 2005-05-17 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US6518610B2 (en) 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6867101B1 (en) 2001-04-04 2005-03-15 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6593181B2 (en) 2001-04-20 2003-07-15 International Business Machines Corporation Tailored insulator properties for devices
US6586311B2 (en) 2001-04-25 2003-07-01 Advanced Micro Devices, Inc. Salicide block for silicon-on-insulator (SOI) applications
JP2002329861A (ja) 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6489684B1 (en) 2001-05-14 2002-12-03 Taiwan Semiconductor Manufacturing Company Reduction of electromigration in dual damascene connector
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6576526B2 (en) 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
AU2002331077A1 (en) 2001-08-13 2003-03-03 Amberwave Systems Corporation Dram trench capacitor and method of making the same
US6690082B2 (en) 2001-09-28 2004-02-10 Agere Systems Inc. High dopant concentration diffused resistor and method of manufacture therefor
US6521952B1 (en) 2001-10-22 2003-02-18 United Microelectronics Corp. Method of forming a silicon controlled rectifier devices in SOI CMOS process for on-chip ESD protection
US6555883B1 (en) 2001-10-29 2003-04-29 Power Integrations, Inc. Lateral power MOSFET for high switching speeds
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
JP2003179157A (ja) * 2001-12-10 2003-06-27 Nec Corp Mos型半導体装置
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6600170B1 (en) 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
FI119215B (fi) 2002-01-31 2008-08-29 Imbera Electronics Oy Menetelmä komponentin upottamiseksi alustaan ja elektroniikkamoduuli
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2003282726A (ja) 2002-03-27 2003-10-03 Nec Electronics Corp 半導体装置及びその製造方法
US6784101B1 (en) 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
JP4136452B2 (ja) 2002-05-23 2008-08-20 株式会社ルネサステクノロジ 半導体装置及びその製造方法
WO2003105204A2 (en) 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6900521B2 (en) 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6686247B1 (en) 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
US6969618B2 (en) 2002-08-23 2005-11-29 Micron Technology, Inc. SOI device having increased reliability and reduced free floating body effects
JP4030383B2 (ja) 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
DE10240423B4 (de) 2002-09-02 2007-02-22 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Feldeffekttransistor und einem passiven Kondensator mit reduziertem Leckstrom und einer verbesserten Kapazität pro Einheitsfläche und Verfahren zu dessen Herstellung
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6730573B1 (en) 2002-11-01 2004-05-04 Chartered Semiconductor Manufacturing Ltd. MIM and metal resistor formation at CU beol using only one extra mask
US7022561B2 (en) 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
US6734527B1 (en) 2002-12-12 2004-05-11 Advanced Micro Devices, Inc. CMOS devices with balanced drive currents based on SiGe
US6720619B1 (en) 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US6919233B2 (en) 2002-12-31 2005-07-19 Texas Instruments Incorporated MIM capacitors and methods for fabricating same
US6924181B2 (en) 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6794764B1 (en) 2003-03-05 2004-09-21 Advanced Micro Devices, Inc. Charge-trapping memory arrays resistant to damage from contact hole information
US6845034B2 (en) 2003-03-11 2005-01-18 Micron Technology, Inc. Electronic systems, constructions for detecting properties of objects, and assemblies for identifying persons
US6900502B2 (en) 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US7045849B2 (en) 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US6936506B1 (en) 2003-05-22 2005-08-30 Advanced Micro Devices, Inc. Strained-silicon devices with different silicon thicknesses
US7081395B2 (en) 2003-05-23 2006-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon strain engineering accomplished via use of specific shallow trench isolation fill materials
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20040266116A1 (en) 2003-06-26 2004-12-30 Rj Mears, Llc Methods of fabricating semiconductor structures having improved conductivity effective mass
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US20050012087A1 (en) 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US6940705B2 (en) 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
US6936881B2 (en) 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US6830962B1 (en) 2003-08-05 2004-12-14 International Business Machines Corporation Self-aligned SOI with different crystal orientation using wafer bonding and SIMOX processes
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035369A1 (en) 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US20050035410A1 (en) 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US7071052B2 (en) 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US6872610B1 (en) 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US20050186722A1 (en) 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7224068B2 (en) 2004-04-06 2007-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stable metal structure with tungsten plug
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7220630B2 (en) 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US20050266632A1 (en) 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
JP4700295B2 (ja) * 2004-06-08 2011-06-15 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7064066B1 (en) 2004-12-07 2006-06-20 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric and a titanium carbide gate electrode
KR100585180B1 (ko) * 2005-02-21 2006-05-30 삼성전자주식회사 동작 전류가 개선된 반도체 메모리 소자 및 그 제조방법
US7164163B2 (en) 2005-02-22 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with hybrid-strain inducing layer
US7528028B2 (en) 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US7470943B2 (en) * 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
JP2007311491A (ja) 2006-05-17 2007-11-29 Toshiba Corp 半導体集積回路
US7800150B2 (en) 2007-05-29 2010-09-21 United Microelectronics Corp. Semiconductor device
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction

Also Published As

Publication number Publication date
TWI374541B (en) 2012-10-11
TW200832702A (en) 2008-08-01
US8558278B2 (en) 2013-10-15
CN101226958A (zh) 2008-07-23
US20080169484A1 (en) 2008-07-17

Similar Documents

Publication Publication Date Title
CN100570893C (zh) 半导体元件
US9331200B1 (en) Semiconductor device and method for fabricating the same
US6852576B2 (en) Method for forming structures in finfet devices
US7494861B2 (en) Method for metal gated ultra short MOSFET devices
US7851313B1 (en) Semiconductor device and process for improved etch control of strained silicon alloy trenches
US8324038B2 (en) Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
US20070108526A1 (en) Strained silicon CMOS devices
US6869866B1 (en) Silicide proximity structures for CMOS device performance improvements
US7514309B2 (en) Methods to selectively protect NMOS regions, PMOS regions, and gate layers during EPI process
US7754571B2 (en) Method for forming a strained channel in a semiconductor device
US20080128765A1 (en) MOSFET Device With Localized Stressor
US9564488B2 (en) Strained isolation regions
US20070267694A1 (en) Transistors with stressed channels and methods of manufacture
US9196528B2 (en) Use of contacts to create differential stresses on devices
EP3140858B1 (en) Method for fabricating a semiconductor device including fin relaxation, and related structures
US7268362B2 (en) High performance transistors with SiGe strain
US20090142892A1 (en) Method of fabricating semiconductor device having thin strained relaxation buffer pattern and related device
US7951662B2 (en) Method of fabricating strained silicon transistor
US20070254420A1 (en) Source/drain implantation and channel strain transfer using different sized spacers and related semiconductor device
US9117925B2 (en) Epitaxial process
US10923594B2 (en) Methods to reduce or prevent strain relaxation on PFET devices and corresponding novel IC products
JP2012060136A (ja) 歪チャネルを有するmosトランジスタを製造する方法
JP2006324426A (ja) 半導体装置およびその製造方法
JP2012054587A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091216