CN100536084C - Method of repairing damaged film having low dielectric constant, semiconductor fabricating device and storage medium - Google Patents

Method of repairing damaged film having low dielectric constant, semiconductor fabricating device and storage medium Download PDF

Info

Publication number
CN100536084C
CN100536084C CNB2007100915246A CN200710091524A CN100536084C CN 100536084 C CN100536084 C CN 100536084C CN B2007100915246 A CNB2007100915246 A CN B2007100915246A CN 200710091524 A CN200710091524 A CN 200710091524A CN 100536084 C CN100536084 C CN 100536084C
Authority
CN
China
Prior art keywords
film
dielectric constant
low dielectric
free radical
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2007100915246A
Other languages
Chinese (zh)
Other versions
CN101047126A (en
Inventor
堀胜
久保田和宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nagoya University NUC
Tokyo Electron Ltd
Original Assignee
Nagoya University NUC
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nagoya University NUC, Tokyo Electron Ltd filed Critical Nagoya University NUC
Publication of CN101047126A publication Critical patent/CN101047126A/en
Application granted granted Critical
Publication of CN100536084C publication Critical patent/CN100536084C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing

Abstract

A damaged layer repairing method repairs a damaged layer formed in a surface of a SiOCH film having a low dielectric constant film, containing silicon, carbon, oxygen and hydrogen and formed on a substrate through the elimination of carbon atoms by the decarbonizing effect of plasmas used in an etching process and an ashing process. CH3 radicals are produced through the thermal decomposition of C8H18O2 gas represented by a structural formula: (CH3)3COOH(CH3)3. CH3 radicals are brought into contact with the damaged layer in the SiOCH film and are made to bond to the damaged layer to repair the damaged layer.

Description

The damage rehabilitation method of film having low dielectric constant, semiconductor-fabricating device
Technical field
The present invention relates to the film having low dielectric constant that contains silicon, carbon, oxygen and hydrogen by plasma etc. and broken away from the technology that the damage film of carbon is repaired.
Background technology
Semiconductor device all has highly integrated trend every year, for corresponding with the miniaturization of the pattern that on semiconductor wafer substrates such as (hereinafter referred to as wafers), forms, the improvement of anticorrosive additive material and exposure technique is developed, and the opening size of Etching mask is also corresponding to be reduced.
On the other hand, highly integrated in order to realize, therefore the structure multiple stratification of device, but in order to improve the speed of service, must reduce parasitic capacitance for dielectric film interlayer dielectric for example, also carries out the exploitation of the material of film having low dielectric constant.As one of this film having low dielectric constant, for example can list have a Si-C key be called as porous MSQ (methyl hydrogen silsesquioxane: Methyl-hydrogen-Silses-Quioxane) the SiOCH film of film etc.
This SiOCH film has for example been imbedded copper wiring, thus with Etching mask and hardmask with acting on etched mask, for example by CH 4Plasma after the gaseous plasmaization carries out etching, is carried out the ashing treatment (ashing) of Etching mask then by the plasma after the oxygen gas plasmaization.Figure 14 is this mode of expression schematically, and the 100th, SiOCH film, the 101st, Etching mask, the 102nd, hardmask.
In addition, under the situation of the plasma treatment of SiOCH film 100 being carried out etching or ashing etc.,, promptly on the sidewall and bottom surface of recess, for example cut off the Si-C key, C is broken away from from film by plasma at the face that exposes of the SiOCH film 100 that is exposed to plasma.Form the state labile of the Si of unsaturated bond owing to having broken away from C, so combine with moisture etc. in the atmosphere for example subsequently and form Si-OH.
By such plasma treatment, form affected layer 103 on the face in exposing of SiOCH film 100, because the reduction of the phosphorus content in this affected layer 103, so its dielectric constant descends.Because the progress of the filming of the miniaturization of the live width of Wiring pattern and wiring layer, insulating barrier, surface element increases for the ratio of wafer W entire effect, even surface element owing to the decline of its dielectric constant, also will become the one of the main reasons of the characteristic off-design value that makes semiconductor device.
On the other hand, as solution to this problem, known have patent documentation 1 a described technology.This technology is used by Si-Si key and Si-CH 3The silazane based compound that key constitutes, the affected layer that the OH base that is generated by dry-etching is constituted carries out surface modification.But this technology is the H of displacement OH base and the surface modification of above-mentioned silazane based compound, does not carry out the preceding state of plasma treatment owing to get back to, so dielectric constant and design load depart from.And because the molecule of above-mentioned silazane based compound is big, so by producing sterically hinderedly with the H displacement with the molecule of the surface combination of film, molecule can not be penetrated into the inside of film, modification can not proceed to the inside of film.
Patent documentation 1: TOHKEMY 2005-340288 ((0010,0028))
Summary of the invention
The present invention proposes in view of the above problems, and it is a kind of in the substrate of the dielectric film that lamination is made of the film having low dielectric constant that contains silicon, carbon, oxygen and hydrogen that its purpose is to provide, and the affected layer that has broken away from C to being handled by enforcements such as plasmas is repaired.
The damage rehabilitation method of film having low dielectric constant of the present invention is characterised in that, comprising:
To CH 3Free radical generates uses the gas energize, generates CH 3The operation of free radical; With
Supply with CH to the film having low dielectric constant that contains silicon, carbon, oxygen and hydrogen and have an affected layer that has broken away from carbon 3Free radical makes CH 3The reparing process that basic and above-mentioned affected layer combines.
Generate CH 3The operation of free radical is characterised in that: this operation is to make CH 3Free radical generates the operation with the gas thermal decomposition.
The sustain damage affected layer that forms affected layer of film having low dielectric constant is sneaked into operation and is characterised in that: this operation is the operation that film having low dielectric constant is exposed to plasma.
The operation that film having low dielectric constant is exposed to plasma is characterised in that: this operation is to be used at the etching work procedure that forms recess on the film having low dielectric constant and/or to be used for ashing operation that the resist film that is made of organic membrane that is formed at above the film having low dielectric constant is carried out ashing.
The handled object that is formed with film having low dielectric constant is characterised in that: sneak into operation to reparing process from the film having low dielectric constant affected layer that forms affected layer that sustains damage, all place vacuum atmosphere.
Affected layer sneaks into operation and reparing process carries out in same container handling.
CH 3Free radical generates and is characterised in that with gas: this gas is to be selected from two tertiary alkyl peroxide ((CH 3) 3COOC (CH 3) 3), methane (CH 4), azomethane ((CH 3) 2N 2Or (CH 3) 32,2 N), '-azodiisobutyronitrile ((CH 3) 2C (CN) N=N (CN) C (CH 3) 2), dimethylamine ((CH 3) 2NH) and neopentane (C (CH 3) 4) in gas.
Semiconductor-fabricating device of the present invention is characterised in that:
Comprise: container handling;
Be arranged in this container handling, be used for the mounting table of mounting handled object;
Be used for carrying out the unit of vacuum exhaust in the above-mentioned container handling; With
Be used for to CH 3Free radical generates with the gas energize and generates CH 3Free radical, and with this CH 3Free radical is supplied to the unit of the handled object of mounting on the said apparatus platform,
Disengaging by the film having low dielectric constant that contains silicon, carbon, oxygen and hydrogen that on above-mentioned handled object, forms the affected layer and the CH of carbon 3The base in conjunction with and repair.
Be used for supplying with CH to handled object 3The unit of free radical is characterised in that: this unit is to be used to make CH 3Free radical generates the unit with the gas thermal decomposition.
Be used for supplying with CH to handled object 3The unit of free radical is characterised in that: have the side that is used for from handled object and contain CH to the handled object supply 3The supply port of the gas of free radical.
Be used for supplying with CH to handled object 3The unit of free radical is characterised in that: be oppositely arranged with mounting table, have supply CH 3Free radical generates the supply unit with gas.
In addition, semiconductor-fabricating device of the present invention is characterised in that:
Comprise: the unit of in above-mentioned container handling, supplying with plasma processing gas; With
Make the unit of plasma processing gas plasmaization in above-mentioned container handling,
By plasma handled object is carried out plasma treatment, the affected layer of the film having low dielectric constant that sustains damage to being handled by this plasma is repaired then.
Further, semiconductor-fabricating device of the present invention is characterised in that:
Comprise: the plasma treatment container handling different with above-mentioned container handling:
Handle with the unit of supplying with plasma processing gas in the container handling to this plasma;
Make plasma processing gas in the unit of above-mentioned plasma treatment with plasmaization in the container handling;
Connect and to be used for container handling that affected layer is repaired and plasma treatment carrying room with the vacuum atmosphere of container handling; With
Be arranged in this carrying room, be used in the conveyance unit of plasma treatment conveyance handled object and between the container handling that is used for affected layer is repaired with container handling,
By plasma handled object is carried out plasma treatment, the affected layer of the film having low dielectric constant that sustains damage to being handled by this plasma is repaired then.
Plasma treatment is characterised in that: this processing is to be used at the etching work procedure that forms recess on the film having low dielectric constant and/or to be used for ashing operation that the resist film that is made of organic membrane that is formed at above the film having low dielectric constant is carried out ashing.
The computer program that storage medium stores of the present invention has the device repaired at the affected layer that has been used for to the disengaging that is formed at the film having low dielectric constant that contains silicon, carbon, oxygen and hydrogen on the handled object carbon to use, it is characterized in that: said procedure is formed the step group, makes to implement above-mentioned restorative procedure.
The invention effect
The present invention for the disengaging in the film having low dielectric constant that contains silicon, carbon, oxygen and hydrogen the affected layer of C, by supplying with CH 3Free radical, make C in conjunction with and repair affected layer, can suppress the decline of film quality.And, for example to perforated membrane, can be deep into the depths from surface element and repair, and because CH 3The life-span of free radical is long, so can carry out the high repair process of inner evenness to substrate.
Description of drawings
Fig. 1 is the longitudinal section of an example of expression plasma processing apparatus of the present invention.
Fig. 2 is the cross-sectional view of an example of expression plasma processing apparatus of the present invention.
Fig. 3 is used to generate CH among expression the present invention 3The schematic diagram of an example of the device of free radical.
Fig. 4 is the structure of the wafer W used in the plasma treatment of the present invention of expression and the figure of each plasma treatment.
Fig. 5 is a concept map of considering an example of reaction mechanism in the reparing process of the present invention.
Fig. 6 is the concept map of the example of semiconductor-fabricating device used among the present invention of expression.
Fig. 7 is the concept map of an example of free radical processing unit among expression the present invention.
Fig. 8 is the concept map for the wafer W of the present invention's experiment.
Fig. 9 is the result's of expression experimental example 1 of the present invention figure.
Figure 10 is the result's of expression experimental example 2 of the present invention figure.
Figure 11 is the result's of expression experimental example 3 of the present invention figure.
Figure 12 is the result's of expression experimental example 4 of the present invention figure.
Figure 13 is the result's of expression experimental example 5 of the present invention figure.
Figure 14 is the schematic diagram of wafer W in the existing plasma treatment.
Symbol description
2: plasma processing apparatus; 21: process chamber; 3: mounting table; 31: lower electrode; 4: upper electrode: 54:SiOCH film: 57: recess; 60: affected layer; 63: gas heated portion; 80: plasma processing apparatus; 81: the free radical processing unit
Embodiment
Below, use Fig. 1 and Fig. 2 that an example of the device of implementing restorative procedure of the present invention is described.This device constitutes, and can carry out substrate in the plasma processing apparatus 2 of etching and ashing, the additional function that can repair the SiOCH film.Plasma processing apparatus 2 shown in Figure 1 for example comprises: process chamber 21 is the plasma processing chamber that the vacuum chamber of confined space constitutes by inside; Be disposed at the mounting table 3 of the bottom surface central authorities in this process chamber 21; With the upper electrode 4 that above mounting table 3, is oppositely arranged with this mounting table 3.
Above-mentioned process chamber 21 electrical ground, and the exhaust outlet 22 of process chamber 21 bottom surfaces is connected with exhaust apparatus 23 as the vacuum exhaust unit by blast pipe 24.Be connected with not shown pressure adjustment part on this exhaust apparatus 23, this pressure adjustment part to carrying out vacuum exhaust in the process chamber 21, is kept the vacuum degree of hope according to the signal from control part 2A described later.The wall of process chamber 21 is provided with the conveyance mouth 25 of wafer W, and this conveyance mouth 25 can carry out switch by gate valve 26.
On the inwall of process chamber 21 heater module is installed, the inwall of process chamber 21 is remained on for example high temperature more than 60 ℃, make attachments such as fluorohydrocarbon can not pile up, but omitted here.
Mounting table 3 is made of lower electrode 31 and the supporting mass 32 that supports this lower electrode 31 from the below, is disposed at the bottom surface of process chamber 21 across insulating element 33.Dispose electrostatic chuck 34 on the top of mounting table 3, wafer W is passed through these electrostatic chuck 34 mountings in mounting table 3.Electrostatic chuck 34 is made of insulating material, is provided with the electrode foil 36 that is connected with high-voltage DC power supply 35 in the inside of this electrostatic chuck 34.By applying voltage to electrode foil 36 from high-voltage DC power supply 35, produce static on the surface of electrostatic chuck 34, make mounting in the wafer W of mounting table 3 by 34 Electrostatic Absorption of electrostatic chuck.Electrostatic chuck 34 is provided with the through hole 34a that is used for side described later (back side) gas is discarded to electrostatic chuck 34 tops.
Be formed with the refrigerant flow path 37 of circulation regulation cold-producing medium (for example existing known fluorine is fluid, water etc.) in the mounting table 3, flow through this refrigerant flow path 37 by cold-producing medium and cool off mounting table 3, freeze to the temperature of hope by the wafer W that this mounting table 3 will be positioned on the mounting table 3.And, not shown temperature sensor is installed on the lower electrode 31, often monitor the temperature of the wafer W on the lower electrode 31 by this temperature sensor.
And, be provided with gas flow path 38 in the inside of mounting table 3, supply with heat conductivity gases such as He (helium) gas, this gas flow path 38 many places opening on mounting table 3 as side gas.These peristomes are communicated with above-mentioned through hole 34a on being located at electrostatic chuck 34, if gas flow path 38 is supplied with the side gases, then this side gas flows out to the top of electrostatic chuck 34 by through hole 34a.This side gas spreads equably in electrostatic chuck 34 and the whole gap that is positioned in the wafer W on the electrostatic chuck 34, can improve the heat conductivity in this gap.
Above-mentioned lower electrode 31 is by high pass filter (HPF) 3a ground connection, and the high frequency corresponding with second high frequency for example the high frequency electric source 31a of 2MHz be connected with lower electrode 31 by adaptation 31b.
And, dispose the focusing ring 39 that surrounds electrostatic chuck 34 in the neighboring of lower electrode 31, when producing plasma, plasma is gathered on the wafer W on the mounting table 3 by focusing ring 39.
Upper electrode 4 forms hollow form, for example disperses equably in its lower section to be formed with to be used for disperseing to supply with a plurality of holes 41 of handling gas in process chamber 21, constitutes gas tip.And, being provided with gas introduction tube 42 in the upper central of upper electrode 4, this gas introduction tube 42 connects the upper central of process chamber 21 across insulator 27.And this gas introduction tube 42 is branched off into 4 at upstream side, forms branched pipe 42A~42D, is connected with gas supply source 45A~45D with the 44A~44D of flow control portion via valve 43A~43D.Gas introduction tube 42E described later is connected with gas supply source 45E with the 44E of flow control portion via valve 43E.
It is 46 that this valve 43A~43E, the 44A of flow control portion~44E constitutes the gas supply, according to the signal from control part 2A described later, gas flow and the supply disconnection of each gas supply source 45A~45E is controlled.And, branched pipe 42A~42D, gas supply be 46 and each gas supply source 45A~45D constitute the unit of supplying with plasma processing gas.
Upper electrode 4 is by low pass filter (LPE) 47 ground connection, and frequency be higher than the second high frequency electric source 31a for example the high frequency electric source 4a of first high frequency of 60MHz be connected with this upper electrode 4 by adaptation 4b.
High frequency from the high frequency electric source 4a that is connected in upper electrode 4 is equivalent to first high frequency, is used to make the processing gaseous plasmaization.High frequency from the high frequency electric source 31a that is connected in lower electrode 31 is equivalent to second high frequency, by wafer W is applied bias voltage, the ion in the plasma is introduced the surface of wafer W.This upper electrode 4 and high frequency electric source 31 constitute the unit that makes the plasma processing gas plasmaization.In addition, high frequency electric source 4a is connected with control part 2A with 31a, and according to control signal, control is supplied to the electric power of upper electrode 4 and high frequency electric source 31.
And, be provided with gas heated portion 63 in process chamber 21 sides, for being used for supplying with CH to wafer W 3Free radical generates the unit with gas, and for example as shown in Figure 3, this gas heated portion 63 is made of basket 64 cylindraceous, is connected with gas introduction tube 42E with process chamber 21, makes gas right side from figure flow to the left.Between process chamber 21 and gas heated portion 63, be formed with and be used for containing CH to the handled object supply 3The supply port 67 of the gas of free radical.Be provided with the thermals source 65 such as for example tungsten filament of coiled type along the stream of gas in the inside of gas heated portion 63, can be with gas heated to for example 1000 ℃, thermal source 65 is connected with power supply 66 across basket 64.Passing through gas introduction tube 42E to gas heated portion 63 gas supplied from above-mentioned gas supply source 45E, is free radical by these thermal source 65 thermal decompositions, is supplied in the process chamber 21.Gas heated portion 63, gas introduction tube 42E, gas supply be 46 and gas supply source 45E be configured for supplying with CH to processed gas 3The unit of free radical.Also can constitute, basket 64 is provided with the window of for example not shown quartz system, by the temperature of not shown radiation thermometer from external measurement thermal source 65, thus the temperature of control thermal source 65.
In this plasma processing unit 2, be provided with the control part 2A that for example constitutes by computer, this control part 2A is provided with the data processing division that is made of program, memory, CPU, have in the order in the said procedure combination, make to transmit control signal to each one of plasma processing apparatus 2, wafer W is implemented plasma treatment by carrying out each step described later from control part 2A.And, have in the memory and charge to for example zone of processing parameters such as processing pressure, processing time, gas flow, power value, CPU reads these processing parameters when respectively the ordering of executive program, send to each position of plasma processing apparatus 2 with the corresponding control signal of this parameter.This program (also comprising the program about the input operation and the demonstration of processing parameter) is stored in computer-readable storage medium for example among floppy disk, CD, MO (photomagneto disk), the hard disk storage part 2B such as (HD), is installed on control part 2A.
Below, the execution mode of the manufacture method of the semiconductor device of the present invention that uses above-mentioned plasma processing apparatus 2 is described.At first, open gate valve 26, the wafer W of 300mm (12 inches) is moved in the process chamber 21 by not shown transport mechanism.This wafer W level is positioned on the mounting table 3, then with the wafer W Electrostatic Absorption on mounting table 3.Then, transport mechanism is withdrawed from, closing gate valve 26 from process chamber 21.Then supply with side gas, wafer W is adjusted to the temperature of regulation from gas flow path 38.Carry out following step thereafter.
Here, the structure of wafer W surface element is shown in Fig. 4 (a).And, represented a part in this embodiment with the operation of dual damascene (Dual Damascene) formation copper wiring.The 56th, the Cu distribution, the 53rd, stop etched SiC film, the 54th, as the SiOCH film of interlayer dielectric, the 59th, as the SiO of hard mask 2Film, the 51st, Etching mask, the 55th, peristome.
(step 1: etching work procedure)
By carrying out exhaust in 24 pairs of process chambers 21 of blast pipe, will remain the specified vacuum degree in the process chamber 21 by exhaust apparatus 23, being supplied with by gas then is 46 to supply with for example C 4F 8Gas, N 2Gas and Ar gas.Then for example supplying with to upper electrode 4, frequency is that 60MHz, power are first high frequency of 1200W, make the processing gaseous plasmaization as the above-mentioned gas mist, for example supplying with to lower electrode 31 simultaneously, frequency is that 2MHz, power are second high frequency of 1200W.
In this plasma, contain the spike of the compound of carbon and fluorine, with SiO 2Film 59 and SiCOH film 54 are exposed in this spike atmosphere, generate compound with atomic reaction in these films, shown in Fig. 3 (b), and etching SiO thus 2Film 59, SiOCH film 54 and SiC film 53 form recess 57.
By being exposed to plasma this moment, form the above-mentioned affected layer 60 that has broken away from C at the wall that is formed at the recess 57 on the SiOCH film 54.
(step 2: the ashing operation)
Then, stop the power supply from high frequency electric source 4a, 31a, stop at and generate plasmas in the process chamber 21, stopping then supplying with from gas is that 46 gas is supplied with.Then, remove residual gas, make and remain on the specified vacuum degree in the process chamber 21 by carrying out exhaust in 23 pairs of process chambers 21 of exhaust apparatus.
Being supplied with by gas is 46 to supply with for example O 2Gas for example supplies with to upper electrode 4 that frequency is that 60MHz, power are first high frequency of 300W, makes the above-mentioned gas plasmaization, and for example supplying with to lower electrode 31 simultaneously, frequency is that 2MHz, power are second high frequency of 300W.
Shown in Fig. 3 (c), make Etching mask 51 ashing and remove by this plasma.
The inventor thinks, by being exposed to plasma this moment, and can be so that the affected layer 60 that forms in above-mentioned etching work procedure be thicker.
(step 3: reparing process)
Stop the power supply from high frequency electric source 4a, 31a, stop at and produce plasmas in the process chamber 21, stopping then supplying with from gas is that 46 gas is supplied with.Then by carrying out exhaust in 23 pairs of process chambers 21 of exhaust apparatus, remove residual gas, will remain on the specified vacuum degree in the process chamber 21, for example 1Pa (7.5mTorr) is to 10Pa (75mTorr).On the other hand, in advance from power supply 66 to the thermal source 65 of gas heated portion 63 tungsten filament supply capability for example, remain on 1000 ℃.
Supply with as CH to gas heated portion 63 by gas introduction tube 42E by gas supply source 45E 3Free radical generates for example C with gas 8H 18O 2(two tertiary alkyl peroxides, structural formula are (CH 3) 3COOC (CH 3) 3) gas, make this gas thermal decomposition by the heat of thermal source 65.By thermal decomposition, C 8H 18O 2Gas becomes CH by the reaction of following (1) formula and (2) formula 3Free radical is supplied in the process chamber 21.
C 8H 18O 2→2(CH 3) 3CO (1)
(CH 3) 3CO→(CH 3) 2CO+CH 3 (2)
By keeping this state official hour, for example 20 minutes, shown in Fig. 4 (d), repair
Generate on SiOCH film 54 by the plasma in above-mentioned etching work procedure and the ashing operation again
Affected layer 60.This reaction is shown in (3) formula and (4) formula.
SiO-+·CH 3→SiOCH 3 (3)
SiO 2+·CH 3→SiOCH 3+O- (4)
Wherein, CH 3Expression CH 3Free radical.In addition, this reaction mechanism as shown in Figure 5.Shown in this figure (a), by the plasma in etching work procedure and the ashing operation, cut off the Si on SiOCH film 54 surfaces and the key of C, form the unsaturated bond that is called dangling bonds (Dangling bond).Inside at SiOCH film 54 also is formed with dangling bonds.The amount that SiOCH film 54 is exposed to plasma is many more, and this degree of depth (thickness of affected layer 60) increases.Usually subsequently for example as mentioned above the attachment of moisture in the atmosphere in this dangling bonds, form the Si-OH key.
If supply with CH to this dangling bonds 3Free radical shown in this figure (b), generates Si-CH 3Key.And SiOCH film 54 is porous bodies, the CH that molecule is little 3Free radical can be invaded the inside of SiOCH film 54.At this moment, with the CH of the surface combination of above-mentioned SiOCH film 54 3Base is little, for the CH that will invade SiOCH film 54 inside 3Free radical forms sterically hindered hardly.Therefore, generate Si-CH on the surface of SiOCH film 54 3Behind the key, CH 3Free radical is invaded SiOCH film 54 inside, combines with the dangling bonds of inside, generates Si-CH 3Key can be repaired damage membrane damage layer 60.
On the other hand, CH 3Free radical is each atom structure arranged at grade, because the accumulation that on SiOCH film 54, produces deposit hardly, so can optionally combine with dangling bonds.
And, because CH 3Free radical not with other CH 3Free radical, by C 8H 18O 2Other compound of generating of decomposition or through the reactions such as dangling bonds after once repairing, so even supply with CH unevenly to wafer W 3Free radical, by experiment described later as can be known, because long-time delay in process chamber 21, so can be to carrying out the high reparation of uniformity in the face of wafer W.
And, in this example, be provided with a CH of place at the sidewall of process chamber 21 3The free radical supply port, but also can be provided with a plurality ofly at the Zhou Fangxiang of process chamber 21, in this case, can expect in the face of wafer W, to have higher uniformity, affected layer 60 is repaired.And, under the situation of this structure, owing to can increase the quantity delivered of free radical, so can promptly repair to affected layer 60.And, be provided with by exhaust outlet 22 in the many places of the Zhou Fangxiang of wafer W, can improve the inner evenness of wafer W.
Here, because the CH that generates in above-mentioned formula (1) and the formula (2) 3Compound beyond the free radical is low with the reaction probability of SiOCH film 54, thus the inventor think, with 54 reactions of SiOCH film, from exhaust outlet 22 discharges.
As being used to generate CH 3The gas of free radical uses C in this example 8H 18O 2Gas, but be not limited thereto, for example methane (CH can be used 4), azomethane ((CH 3) 2N 2Or (CH 3) 32,2 N), '-azodiisobutyronitrile ((CH 3) 2C (CN) N=N (CN) C (CH 3) 2), dimethylamine ((CH 3) 2NH) and neopentane (C (CH 3) 4) waiting gas, these gas-selectively ground generate CH 3Free radical, CH, the CH big to the attachment coefficient of SiOCH film 54 grades 2Few with the growing amount of C.And, in order to generate CH 3Free radical carries out thermal decomposition with thermals source such as tungsten filament 65 in this example, but also can adopt other method, for example by CH, CH such as the decomposition method of catalyst CVD method or light 2Few with the growing amount of C, optionally generate CH 3The method of free radical.
In addition, after the operation that this SiOCH film 54 is repaired, for example in recess 57, imbed organic membrane, utilize this organic membrane recesses machined 57, imbed copper, form distribution structure as expendable film.
According to above-mentioned form of implementation, SiOCH film 54 is carried out etching and ashing as plasma treatment, implement to utilize CH then 3Radical pair is because the reparing process that the affected layer 60 in the SiOCH film 54 that plasma generates is repaired, can make the approaching preceding ratio of components of plasma treatment that carries out of ratio of components of the element of SiOCH film 54, therefore the decline of the dielectric constant of SiOCH film 54 can be suppressed, the semiconductor device of electrical property can be accessed with expection.
From experiment described later as can be known, this reparing process can carry out the sidewall of the recesses such as groove that form on wafer W surface, even under the situation about, for example 180nm narrow at the width of groove, also can repair.
Because CH 3The reparing process of free radical can not produce harmful effect to the characteristic and the plasma processing apparatus 2 of other films, semiconductor device, so can continue SiOCH film 54 and affected layer 60 are repaired, reaches the level of expectation up to the electrical characteristics of semiconductor device.
And, plasma processing apparatus 2 of the present invention, wafer W is not moved in the process chamber 21 and taken out of, can in same process chamber 21, carry out etching work procedure, ashing operation and the reparing process of SiOCH film 54 by changing process conditions such as using gases and processing pressure.Therefore can suppress the OH base to the adhering to of the dangling bonds of Si, thereby can not carry out removing the operation of OH base after the plasma treatment and carry out reparing process, and help the space that is provided with of the raising of output and device.Reparing process can carry out after the etching work procedure of SiOCH film 54 and ashing operation finish, but also can carry out after etching work procedure and ashing operation respectively.
Carry out the wafer W of plasma treatment among the present invention, can on SiOCH film 54 dielectric films such as grade, directly form Etching mask 51, also can be at the SiO that is formed on SiOCH film 54 dielectric films such as grade 2Between film 59 hardmasks such as grade and the Etching mask 51, form the antireflection film of reflection when for example being used to prevent to expose.
The reparation of affected layer 60 among the present invention, be not limited to SiOCH film 54, also can be to constituting by Si, O, C and H and causing the film of the disengaging of C by the light of plasma or radioactive ray etc., for example MSQ (the methyl hydrogen silsesquioxane: Methyl-hydrogen-Silses-Quioxane) (hydrogen silsesquioxane: Hydrogen-Silses-Quioxane) repair for film or HSQ by film etc.
And, organic membrane is carried out CH 3Free radical is handled, and can carry out modification to the organic membrane of article on plasma height patience in etching work procedure, and this organic membrane forms above the films such as interlayer dielectric that are formed with recess by etching, is removed by the ashing operation.
And, the invention is not restricted to be only applicable to implement the SiOCH film 54 after etching and the ashing, for example, also very suitable as its reprocessing making under the situation that SiOCH film 54 sustains damage owing to peeling off the laminate that is stacked on the SiOCH film 54.
For the CH that obtains using among the present invention 3Free radical is not limited to C 8H 18O 2Gas also can utilize to have above-mentioned CH 3The thermal decomposition of the gas of base, and be not limited to thermal decomposition, can also utilize luminous energy etc.
As the plasma processing apparatus 2 that uses among the present invention, also can supply with first high frequency that is used to make the processing gaseous plasmaization to lower electrode 31 not to upper electrode 4, promptly can adopt the device of bottom 2 frequency structure.
In this example, gas heated portion 63 is arranged on the outside of process chamber 21, but also is not limited thereto, and also can supply with CH in process chamber 21 3Free radical generates and uses gas, and thermal source 65 is set in process chamber 21, generates CH in process chamber 21 3Free radical.
Here, plasma processing apparatus 2 is provided with gas heated portion 63 in this example, constitutes the processing and the plasma treatment of carrying out free radical in same process chamber 21, but also can carry out various processing in different process chambers.Fig. 6 is an example of this structure.Among Fig. 6 70 expression is used to carry out the processing of free radical and the semiconductor-fabricating device that is called cluster tool (clustertool) or multi-cavity chamber etc. of plasma treatment.71, the 72nd, as carrier (carrier) C of the conveyance container of wafer W from carrier chamber that atmospheric side is moved into through gate GT, 73 is first carrying rooms, 74, the 75th, preparatory vacuum chamber, 76 is second carrying rooms, they are airtight construction, separate with atmosphere, can be in vacuum atmosphere or torpescence atmosphere.77 is first conveyance unit, and 78 is second conveyance unit, and this second conveyance unit is at plasma treatment described later conveyance handled object and being provided with container and between the container handling that is used for affected layer is repaired.And plasma processing apparatus 80 is connected with second carrying room 76 airtightly with the free radical processing unit 81 that the affected layer 60 that is used to use radical pair to be generated by plasma is repaired.Be provided with not shown plasma treatment container handling in the inside of plasma processing apparatus 80, be connected with not shown gas supply pipe as the unit of supplying with plasma processing gas.And,, be provided with as being used to make the not shown a pair of high-frequency electrode that the unit of managing the processing gaseous plasmaization of supplying with is provided from gas in this container handling inside.Here, as 82, can also be provided with processing unit such as plasma processing apparatus 80 and free radical processing unit 81.
In the semiconductor-fabricating device 70 of Fig. 6, wafer W in the support C, for example pass through preparatory vacuum chambers 74 (or 75) and 78 conveyances of the second conveyance unit to plasma processing apparatus 80, carry out plasmas such as aforesaid etching work procedure and ashing operation and handle from the first conveyance unit 77.Then, wafer W is moved into free radical processing unit 81 by the second conveyance unit 78, carry out above-mentioned reparing process.Be vacuum atmosphere this moment second in the carrying room 76, can suppress adhering to the dangling bonds of Si such as OH base.The atmosphere of preferred this second carrying room 76 is vacuum atmosphere, but in addition also can be for example Ar or N 2Deng the torpescence atmosphere that does not contain O.
Here, use Fig. 7, simple declaration to be used to carry out the free radical processing unit 81 of the reparing process of wafer W.Among Fig. 7 (a) 82 is container handlings of affected layer being repaired by vacuum chamber being used for of constituting.Be provided with mounting table 83, the thermal source 84 of wafer W in the inside of this container handling 82 and supply with CH 3Free radical generates the gas supply part 85 with gas.Be provided with in the side of container handling 82 and be used for the peristome 82a and the gate valve 82b that between mounting table 83 and the above-mentioned second conveyance unit 78, carry out the exchange of wafer W.Be provided with peristome 82c in the bottom of container handling 82, carry out the exhaust of container handling 82 inside by the exhaust apparatus 90 that carries out vacuum exhaust by blast pipe 89.And, in mounting table 83, imbed and be provided with the not shown temperature sensor and the cooling body of wafer W, the temperature of control wafer W.On gas supply part 85, offer a plurality of apertures 86, from gas supply source 88 by gas supply pipe 87 to mounting table 83 supply gas equably.Between gas supply part 85 and mounting table 83, be provided with for example thermal source 84 such as tungsten filament, shown in Fig. 7 (b), be connected with the not shown power supply that is arranged on container handling 82 outsides, in order to make from the 85 gas supplied thermal decompositions of gas supply source, and supply to wafer W, for example be set to the structure of accordion-like, with the contact area of increase with gas.
By peristome 82a mounting the wafer W in mounting table 83 on of the above-mentioned second conveyance unit 78, be adsorbed on the mounting table 83 by the electrostatic chuck that is arranged on the above-mentioned mounting table 83 by container handling 82.Then,, make to reach the specified vacuum degree, in container handling 82, supply with the gas that is used to produce free radical, for example C by gas supply pipe 87 from gas supply source 88 simultaneously by the internal pressure of exhaust apparatus 90 by blast pipe 89 control and treatment containers 82 8H 18O 2Gas.And gas carries out thermal decomposition by being heated to for example 1000 ℃ thermal source 84 in advance by this thermal source, mainly generates CH 3Free radical is supplied to wafer W.Wafer W is carried out the reparation of above-mentioned affected layer 60.After the reparation of having carried out the stipulated time,, from free radical processing unit 81 and semiconductor-fabricating device 70, take out of wafer W according to order opposite when moving into.
According to said structure, owing to can shorten the processing time of wafer W in plasma processing apparatus 80, so can enhance productivity.And,, can supply with very uniformly wafer W, so can repair uniformly in the face to wafer W owing to supply with free radical from the top of wafer W.
Constitute in this example, in the container handling 82 that affected layer 60 is repaired, generate CH 3Free radical, but be not limited thereto, also can decomposing gas portion be set in addition in the outside of container handling 82, portion is provided with thermal source 84 within it, makes CH 3Free radical generates and uses the gas thermal decomposition, is supplied to container handling 82 inside.
Embodiment
Below, the experiment of carrying out in order to confirm effect of the present invention is described.In each experiment, the device as wafer W being carried out plasma treatment uses plasma processing apparatus 2 shown in Figure 1.And the sidewall of process chamber 21 is provided with the detector of QMS (quadrupole mass spectrometer), can analyze the kind that circulates in the free radical in the process chamber 21.
(experimental example 1: the relevant affirmation of the processing time of reparing process and reparation amount)
Shown in Fig. 8 (a), on the naked silicon wafer of diameter 8 inches (200mm), use the test wafer W that on comprehensively, is formed with SiOCH film 54 in the experiment, in order to generate affected layer 60, under following condition, carry out plasma treatment by plasma.Wherein, so-called this plasma is handled, and is assumed to be the etching work procedure and the ashing operation of above-mentioned steps 1 and step 2.
(plasma processing)
The frequency of upper electrode 4: 60MHz
The power of upper electrode 4: 300W
The frequency of lower electrode 31: 2MHz
The power of lower electrode 31: 0W
Processing pressure: 1.3Pa (9.75mTorr)
Handle gas: O 2=300sccm
Processing time: 10sec
Then, to implementing the wafer W after the above-mentioned plasma treatment, under following process conditions, carry out reparing process respectively
(reparing process).
Handle gas: C 8H 18O 2=300sccm
Handle gas: 5.3Pa (39.75mTorr)
The temperature of thermal source 65: 1000 ℃
Processing time is set at 1 minute, 3 minutes, 5 minutes, 7 minutes, 9 minutes, 15 minutes and 25 minutes 8 times.
In addition, as a reference example, also prepared to state on the implementation the sample that does not carry out reparing process after the plasma treatment.
Experimental result
After each wafer W is carried out above-mentioned processing, wafer W is fetched into the atmosphere from process chamber 21, in the experimental provision of regulation, carry out following mensuration.At first, shown in Fig. 8 (a), by the thickness D of beam split analysis of polarized light determination method mensuration affected layer 60, its result is shown in Fig. 9 (a).And, by XPS (x-ray photoelectron spectrum analysis method) elementary analysis is carried out on SiOCH film 54 surfaces, calculate the ratio of C element and O element and Si element amount of element, be shown in this figure (b).The wafer W of carrying out before the above-mentioned plasma treatment is also carried out the analysis of this element, the results are shown in the left side of this figure (b).
In addition, in this experiment,, and to intraassay affected layer 60, used the determinator of the above mensuration degree of depth of thickness with affected layer 60 not only to the surface of SiOCH film 54.That is, in order to make CH 3The reparation of free radical can be carried out to inside from the surface of SiOCH film 54, and the device of whole thickness of affected layer 60 can not be measured in use with destroying.But the D reduced representation among Fig. 8 (a) is from the thickness of SiOCH film 54 surface beginnings.
From Fig. 9 (a) as can be known, along with the increase in processing time of reparing process, the thickness D of affected layer 60 reduces.Use 25 minutes processing as can be known, repair from the surface of SiOCH film 54 to the degree of depth of 20nm roughly.Can estimate that from first approximation curve the thickness D of this affected layer 60 is at about about 50 minutes Shi Weiling, return to the state of implementing before the plasma treatment according to the data computation of experimental result.
In Fig. 9 (b), owing to reduce (with reference to 0 minute processing time) by the ratio of plasma treatment C, the inventor thinks that affected layer 60 is owing to the disengaging that C takes place from SiOCH film 54 forms as mentioned above.And the inventor thinks, the ratio of O increases, and expression has broken away from the OH base in the dangling bonds behind the C and the atmosphere etc. as mentioned above and combined.
The amount of element of C and O is by reparing process and near the value before the plasma treatment.But, carrying out under 25 minutes dispositions, though the ratio of O very near the value before the plasma treatment, the ratio of C then rests on about 2/3 before the plasma treatment.The inventor thinks, this is because in the dangling bonds of the Si that once combines with OH base etc., through by CH 3The disengaging of the OH base of free radical etc. and CH subsequently 3The cohesive process of base has produced disengaging from OH base etc. to CH 3The time difference of base combination.
And, the gradient of the curve of expression reparation degree from these figure (a) and (b), the inventor thinks CH 3Free radical carries out the reparation on SiOCH film 54 surfaces about repair process to 15 minute, carry out the reparation of SiOCH film 54 inside then.That is, to repair process till 15 minutes, the gradient of curve is milder, sharply tilts subsequently, and the inventor thinks at first and to infiltrate into inside thereafter in the wafer W diffusion into the surface thus.
(experimental example 2: the uniformity of reparation degree in the wafer W face)
Then, carrying out each under following process conditions handles.
Embodiment 2
Except that following condition, all with the same condition of experimental example 1 under carry out plasma treatment and reparing process.
(reparing process)
Processing time: 18 minutes
Reference example 2
With the same condition of experimental example 1 under carry out plasma treatment, do not carry out reparing process.
Experimental result
For the wafer W after handling, same with experimental example 1, the thickness D to affected layer 60 measures by beam split analysis of polarized light determination method, respectively measures 5 points in the directions X and the Y direction of wafer W.Here CH 3The supply port of free radical is towards the central part of wafer W, and the extended line direction that connects this supply port and wafer W central part is the Y direction, and the direction vertical with this Y direction is directions X.
This measurement result shown in Figure 10 (b), and, in reference example, because the thickness of affected layer 60 be the identical value of cardinal principle on directions X and Y direction, so carry out reduced representation.From this result as can be known, by the comprehensive affected layer 60 roughly equably repaired about 25nm of reparing process to wafer W.
Although the reparation degree in the wafer W face has a little inhomogeneous on the Y direction, if its difference below 10%, then is good.Hence one can see that, CH 3Free radical can be supplied with equably to the surface of wafer W.This expression: CH as mentioned above 3Free radical optionally reacts with the dangling bonds of Si and reactive relatively poor with other compounds etc., CH 3Free radical is evenly diffusion in process chamber 21, unreacted in long-time and being detained.
The inventor thinks that it is owing to be in the position that gas heated portion 63 is connected with process chamber 21 that the Y direction is repaired the uneven reason of degree.That is, from wafer W, owing to carry out exhaust, so at the CH of the opposition side circulation that is provided with gas heated portion 63 and exhaust outlet 22 sides from being provided with the identical direction of gas heated portion 63 sides 3The amount of free radical is few, has produced CH on the Y direction 3The segregation of free radical.As mentioned above,, be easy to improve, can further improve the uniformity of reparation degree in the wafer W face by changing the position and the quantity of gas heated portion 63 and exhaust outlet 22.
(experimental example 3: the reparation degree of pattern line-width)
Then, in the top of the wafer W shown in Fig. 8 (a), the Etching mask that the lamination organic membrane constitutes, the peristome of formation live width L1 on this Etching mask.Shown in this figure (b), under following process conditions, wafer W is carried out etching work procedure and ashing operation then, form the recess 57 of live width L1, carry out reparing process subsequently.And, as described below, as reference example, also prepare to carry out etching work procedure and ashing operation and do not carry out the wafer W of reparing process.Wherein, set following each embodiment and comparative example, form live width L1.
(etching work procedure)
The frequency of upper electrode 4: 60MHz
The power of upper electrode 4: 1200W
The frequency of lower electrode 31: 2MHz
The power of lower electrode 31: 1200W
Processing pressure: 10Pa (75mTorr)
Handle gas: C 4F 8/ N 2/ Ar=4/150/1000sccm
Processing time: 90sec
(ashing operation)
The frequency of upper electrode 4: 60MHz
The power of upper electrode 4: 300W
The frequency of lower electrode 31: 2MHz
The power of lower electrode 31: 300W
Processing pressure: 1.3Pa (10mTorr)
Handle gas: O 2=300sccm
Processing time: 45sec
(reparing process)
Handle gas: C 8H 18O 2=300sccm
Processing pressure: 5.3Pa (39.75mTorr)
The temperature of thermal source 65: 1000 ℃
Processing time: 10 minutes
Embodiment 3-1
Be L1=180nm.
Embodiment 3-2
Be L1=200nm.
Embodiment 3-3
Be L1=250nm.
Reference example 3-1
Be L1=180nm, do not carry out reparing process.
Reference example 3-2
Be L1=200nm, do not carry out reparing process.
Reference example 3-3
Be L1=250nm, do not carry out reparing process.
Experimental result
To carrying out each wafer W after the above-mentioned processing, by in the HF aqueous solution of 1 weight %, flooding 30 seconds, shown in Fig. 8 (b), comprise the live width L2 of affected layer 60 in the sidewall of mensuration recess 57, the variable quantity L (L=L2-L1) that expression is comprised the live width of affected layer 60 is shown in Figure 11.Promptly, the affected layer 60 that has broken away from carbon from the surface element of SiOCH film 54 is dissolved in the HF aqueous solution, and on the other hand, the SiOCH film 54 that does not break away from carbon is not dissolved in the HF aqueous solution, so, can know the amount of the affected layer 60 that forms on the SiOCH film 54 by impregnated in the HF aqueous solution.
By this result of experiment, even be under the narrower situation of 180nm at live width L1, CH 3Free radical acts on the sidewall of recess 57, can repair affected layer 60.On the other hand as can be known, along with the live width L1 that forms in the recess 57 narrows down, the L of affected layer 60 also reduces.The inventor thinks that this is because under the situation of line width, and the time that the sidewall of recess 57 is exposed in etching work procedure and ashing operation in the plasma is short.
And live width L1 is narrow more, after the ashing and the difference of the L after repairing big more, L1 is narrow more for this expression live width, the amount of the affected layer of being repaired by reparing process 60 is many more.The inventor thinks thus, and under the situation of line width, the time that the sidewall of recess 57 is exposed in etching work procedure and ashing operation in the plasma is short.
(experimental example 4: the analysis of free radical kind)
Use above-mentioned QMS (quadrupole mass spectrometer), the composition that is supplied to the free radical in the process chamber 21 is measured.Experiment with the same process conditions of the reparing process of experimental example 1 under carry out, its result is as shown in figure 12.
Experimental result
Because C 8H 18O 2The thermal decomposition of gas as shown in figure 12, generates CH in process chamber 21 3, C 3H 6O and C 4H 9O.Because can't be to CO and C 3H 6Carry out the evaluation of peak value, infer its mass number and the compound that may generate, as CO and C 3H 6Expression.As mentioned above, confirm by this C 8H 18O 2The thermal decomposition of gas does not generate the high CH of attachment coefficient, CH 2With C etc., generate CH 3Free radical.The inventor thinks, this CH 3Product beyond the free radical not with the wafer W effect, discharge from exhaust outlet 22.
(experimental example 5:CH 3Free radical through the time change)
Use the QMS (quadrupole mass spectrometer) same, to being supplied to the CH in the process chamber 21 with experimental example 4 3The amount of free radical is measured.In this experiment, in order to make CH definite conduction time owing to thermal source 65 3How the amount of free radical changes, and in the reparing process of experimental example 1, from thermal source 65 cold states, supplies with C in process chamber 21 8H 18O 2Gas to thermal source 65 energisings, is confirmed CH then 3Free base unit weight through the time change.Its result as shown in figure 13.
Experimental result
After hot pressing 65 energisings, CH 3The amount of free radical has a little to increase at once, increases with rapid gradient subsequently.The inventor thinks that this recruitment is corresponding with the temperature of thermal source 65, affirmation about about 30 seconds in thermal source 65 energising backs, the temperature stabilization of thermal source 65.And, can confirm CH 3Free radical is by C 8H 18O 2Gas thermal decomposition produced.

Claims (19)

1. the damage rehabilitation method of a film having low dielectric constant is characterized in that, comprising:
To CH 3Free radical generates uses the gas energize, generates CH 3The operation of free radical; With
Supply with CH to the film having low dielectric constant that contains silicon, carbon, oxygen and hydrogen and have an affected layer that has broken away from carbon 3Free radical makes CH 3The reparing process that basic and described affected layer combines.
2. the damage rehabilitation method of film having low dielectric constant as claimed in claim 1 is characterized in that: generate CH 3The operation of free radical is to make CH 3Free radical generates the operation with the gas thermal decomposition.
3. the damage rehabilitation method of film having low dielectric constant as claimed in claim 1 is characterized in that: the film having low dielectric constant affected layer that forms affected layer that sustains damage is sneaked into operation, is the operation that film having low dielectric constant is exposed to plasma.
4. the damage rehabilitation method of film having low dielectric constant as claimed in claim 2 is characterized in that: the film having low dielectric constant affected layer that forms affected layer that sustains damage is sneaked into operation, is the operation that film having low dielectric constant is exposed to plasma.
5. the damage rehabilitation method of film having low dielectric constant as claimed in claim 3, it is characterized in that: film having low dielectric constant is exposed to the operation of plasma, is to be used at the etching work procedure that forms recess on the film having low dielectric constant and/or to be used for ashing operation that the resist film that is made of organic membrane that is formed at above the film having low dielectric constant is carried out ashing.
6. the damage rehabilitation method of film having low dielectric constant as claimed in claim 4, it is characterized in that: film having low dielectric constant is exposed to the operation of plasma, is to be used at the etching work procedure that forms recess on the film having low dielectric constant and/or to be used for ashing operation that the resist film that is made of organic membrane that is formed at above the film having low dielectric constant is carried out ashing.
7. the damage rehabilitation method of film having low dielectric constant as claimed in claim 1, it is characterized in that: the handled object that is formed with film having low dielectric constant, sneak into operation to reparing process from the film having low dielectric constant affected layer that forms affected layer that sustains damage, all place vacuum atmosphere.
8. the damage rehabilitation method of film having low dielectric constant as claimed in claim 2, it is characterized in that: the handled object that is formed with film having low dielectric constant, sneak into operation to reparing process from the film having low dielectric constant affected layer that forms affected layer that sustains damage, all place vacuum atmosphere.
9. the damage rehabilitation method of film having low dielectric constant as claimed in claim 1, it is characterized in that: affected layer sneaks into operation and reparing process carries out in same container handling.
10. the damage rehabilitation method of film having low dielectric constant as claimed in claim 2, it is characterized in that: affected layer sneaks into operation and reparing process carries out in same container handling.
11. the damage rehabilitation method as each described film having low dielectric constant in the claim 1~10 is characterized in that: CH 3It is to be selected from two tertiary alkyl peroxide ((CH that free radical generates with gas 3) 3COOC (CH 3) 3), methane (CH 4), azomethane ((CH 3) 2N 2Or (CH 3) 32,2 N), '-azodiisobutyronitrile ((CH 3) 2C (CN) N=N (CN) C (CH 3) 2), dimethylamine ((CH 3) 2NH) and neopentane (C (CH 3) 4) in gas.
12. a semiconductor-fabricating device is characterized in that:
Comprise: container handling;
Be arranged in this container handling, be used for the mounting table of mounting handled object;
Be used for carrying out the unit of vacuum exhaust in the described container handling; With
Be used for to CH 3Free radical generates with the gas energize and generates CH 3Free radical, and with this CH 3Free radical is supplied to the unit of the handled object of mounting on described charging floor,
Disengaging by the film having low dielectric constant that contains silicon, carbon, oxygen and hydrogen that on described handled object, forms the affected layer and the CH of carbon 3The base in conjunction with and repair.
13. semiconductor-fabricating device as claimed in claim 12 is characterized in that: be used for supplying with CH to handled object 3The unit of free radical is to be used to make CH 3Free radical generates the unit with the gas thermal decomposition.
14. semiconductor-fabricating device as claimed in claim 12 is characterized in that: be used for supplying with CH to handled object 3The unit of free radical has the side that is used for from handled object and contains CH to the handled object supply 3The supply port of the gas of free radical.
15. semiconductor-fabricating device as claimed in claim 12 is characterized in that: be used for supplying with CH to handled object 3The unit of free radical is oppositely arranged with mounting table, has supply CH 3Free radical generates the supply unit with gas.
16. semiconductor-fabricating device as claimed in claim 12 is characterized in that:
Comprise: the unit of in described container handling, supplying with plasma processing gas; With
Make the unit of plasma processing gas plasmaization in described container handling,
By plasma handled object is carried out plasma treatment, the affected layer of the film having low dielectric constant that sustains damage to being handled by this plasma is repaired then.
17. semiconductor-fabricating device as claimed in claim 12 is characterized in that:
Comprise: the container handling that the plasma treatment different with described container handling used:
Handle with the unit of supplying with plasma processing gas in the container handling to this plasma;
Make plasma processing gas in the unit of described plasma treatment with plasmaization in the container handling;
Connect and to be used for container handling that affected layer is repaired and plasma treatment carrying room with the vacuum atmosphere of container handling; With
Be arranged in this carrying room, be used in the conveyance unit of plasma treatment conveyance handled object and between the container handling that is used for affected layer is repaired with container handling,
By plasma handled object is carried out plasma treatment, the affected layer of the film having low dielectric constant that sustains damage to being handled by this plasma is repaired then.
18. semiconductor-fabricating device as claimed in claim 16 is characterized in that: plasma treatment is to be used at the etching work procedure that forms recess on the film having low dielectric constant and/or to be used for ashing operation that the resist film that is made of organic membrane that is formed at above the film having low dielectric constant is carried out ashing.
19., it is characterized in that: CH as each described semiconductor-fabricating device in the claim 12~18 3It is to be selected from two tertiary alkyl peroxide ((CH that free radical generates with gas 3) 3COOC (CH 3) 3), methane (CH 4), azomethane ((CH 3) 2N 2Or (CH 3) 32,2 N), '-azodiisobutyronitrile ((CH 3) 2C (CN) N=N (CN) C (CH 3) 2), dimethylamine ((CH 3) 2NH) and neopentane (C (CH 3) 4) in gas.
CNB2007100915246A 2006-03-27 2007-03-27 Method of repairing damaged film having low dielectric constant, semiconductor fabricating device and storage medium Expired - Fee Related CN100536084C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006085973 2006-03-27
JP2006085973A JP4716370B2 (en) 2006-03-27 2006-03-27 Low dielectric constant film damage repair method and semiconductor manufacturing apparatus

Publications (2)

Publication Number Publication Date
CN101047126A CN101047126A (en) 2007-10-03
CN100536084C true CN100536084C (en) 2009-09-02

Family

ID=38638831

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007100915246A Expired - Fee Related CN100536084C (en) 2006-03-27 2007-03-27 Method of repairing damaged film having low dielectric constant, semiconductor fabricating device and storage medium

Country Status (4)

Country Link
JP (1) JP4716370B2 (en)
KR (1) KR100870997B1 (en)
CN (1) CN100536084C (en)
TW (1) TWI452629B (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5132244B2 (en) * 2007-10-18 2013-01-30 大陽日酸株式会社 Insulation film damage recovery method and recovery agent
JP5261291B2 (en) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 Processing method and storage medium
EP2457932A1 (en) 2009-07-21 2012-05-30 Sumitomo Bakelite Company Limited Composition for film formation, insulating film, and semiconductor device
JP5544893B2 (en) * 2010-01-20 2014-07-09 東京エレクトロン株式会社 Substrate processing method and storage medium
JP5654862B2 (en) * 2010-04-12 2015-01-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
CN103377913B (en) * 2012-04-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 The forming method of opening
CN104134612B (en) * 2014-08-20 2017-11-10 上海华力微电子有限公司 A kind of method for repairing side wall damage of ultralow dielectric constant film
CN104505344B (en) * 2014-08-20 2017-12-15 上海华力微电子有限公司 The method for forming porous ultra-low dielectric materials

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
CN1742363B (en) * 2003-01-25 2010-10-13 霍尼韦尔国际公司 Repair and restoration of damaged dielectric materials and films
JP3939711B2 (en) * 2003-06-18 2007-07-04 富士通株式会社 Manufacturing method of semiconductor device
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
JP5019714B2 (en) * 2005-01-31 2012-09-05 大陽日酸株式会社 Damage recovery method for low dielectric constant films
JP2006303422A (en) * 2005-03-22 2006-11-02 Sony Corp Plasma treatment method and manufacturing method for semiconductor device

Also Published As

Publication number Publication date
TW200805493A (en) 2008-01-16
KR20070096956A (en) 2007-10-02
TWI452629B (en) 2014-09-11
KR100870997B1 (en) 2008-11-27
JP2007266099A (en) 2007-10-11
JP4716370B2 (en) 2011-07-06
CN101047126A (en) 2007-10-03

Similar Documents

Publication Publication Date Title
CN100536084C (en) Method of repairing damaged film having low dielectric constant, semiconductor fabricating device and storage medium
US9431268B2 (en) Isotropic atomic layer etch for silicon and germanium oxides
US11101174B2 (en) Gap fill deposition process
KR102419980B1 (en) Methods for forming a metal silicide interconnection nanowire structure
KR101385346B1 (en) Methods and apparatus for in-situ substrate processing
US8057603B2 (en) Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
CN102569136B (en) The method and apparatus on clean substrate surface
JP2016127285A (en) Isotropic atomic layer etching for silicon oxides using no activation
JP2003179054A (en) Method of forming insulation film and apparatus of forming the insulation film
KR100727205B1 (en) Plasma deposition method and system
US20220282366A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
US9130018B2 (en) Plasma etching method and storage medium
US7556970B2 (en) Method of repairing damaged film having low dielectric constant, semiconductor device fabricating system and storage medium
Xu et al. Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping
US9384999B2 (en) Plasma etching method and storage medium
US10998223B2 (en) Method for processing target object
TW201829821A (en) High-pressure annealing and reducing wet etch rates
JP2003234328A (en) Etching method
KR101384590B1 (en) Method for fabricating semiconductor device and apparatus for fabricating the same
Shohet et al. Damage to low-k porous organosilicate glass from vacuum-ultraviolet irradiation
An Study of surface kinetics in PECVD chamber cleaning using remote plasma source
Lauer et al. Energy dependence of vacuum-ultraviolet-induced radiation damage to electronic materials
JPH03127828A (en) Manufacture of information processing device and equipment therefor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090902