CN100520583C - Photoetching apparatus and method for optimizing lighting light source by photoetching analog technology - Google Patents

Photoetching apparatus and method for optimizing lighting light source by photoetching analog technology Download PDF

Info

Publication number
CN100520583C
CN100520583C CNB2004100352721A CN200410035272A CN100520583C CN 100520583 C CN100520583 C CN 100520583C CN B2004100352721 A CNB2004100352721 A CN B2004100352721A CN 200410035272 A CN200410035272 A CN 200410035272A CN 100520583 C CN100520583 C CN 100520583C
Authority
CN
China
Prior art keywords
response
method described
mask
pattern
aberration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100352721A
Other languages
Chinese (zh)
Other versions
CN1530755A (en
Inventor
S·G·汉森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/361,831 external-priority patent/US6839125B2/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN1530755A publication Critical patent/CN1530755A/en
Application granted granted Critical
Publication of CN100520583C publication Critical patent/CN100520583C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/701Off-axis setting using an aperture
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70108Off-axis setting using a light-guiding element, e.g. diffractive optical elements [DOEs] or light guides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Abstract

A method for optimizing the illumination conditions of a lithographic apparatus by computer simulation using isofocal compensation, the lithographic apparatus including an illuminator, a projection system. This method includes defining a lithographic pattern to be printed on the substrate, selecting a simulation model, selecting a grid of source points in a pupil plane of the illuminator, calculating separate responses for individual source points, each of the responses representing a result of a single or series of simulations using the simulation model, calculating a metric representing variation of the separate responses for individual source points with defocus and adjusting an illumination arrangement based on analysis of the metric.

Description

Lithographic equipment and use photoetching analog technology are optimized the method for lighting source
The application is that sequence number is 10/361,831, the applying date is on February 11st, 2003, title is " using full analogue technique against corrosion and process window module to optimize the method for lighting source ", and sequence number is 10/716,439, the applying date is on November 20th, 2003, and title is the continuous application of the U.S. Patent application of " method that lithographic equipment and use parfocal (isofocal) compensation technique are optimized lighting source ".The content of these two applications is all incorporated by reference at this.
Technical field
The present invention relates to a kind of method that is used to optimize the lithographic equipment lighting source.Especially relate to a kind of method of utilizing computer modeling technique to optimize lighting source.
Background technology
The term of Shi Yonging " patterning device " should be broadly interpreted as to relate to and gives the parts of patterned xsect can for the incident radiation laser beam herein, and wherein said pattern is consistent with the pattern that will produce in the target portion of substrate; Term " light valve " also is used for herein.Usually, described pattern and the corresponding target of the specific function layer portion that in target portion, forms such as integrated circuit or other device.An example of this class patterning device is a mask.The notion of mask is known in photoetching, and it comprises for example two mask-types, alternating phase-shift mask-type, attenuating phase-shift mask-type, and various hybrid mask types.This class mask setting in radiation laser beam makes the radiation energy that incides on the mask according to the pattern on the mask and optionally by transmission (under the situation of transmittance mask) or be reflected (under the situation of reflectivity mask).In the situation of using mask, supporting structure generally is a mask stage, and it guarantees that mask can be maintained at the desired location place in the incident radiation beam, and if desired, this can move with respect to light beam.
Another example of patterning device is program-controlled reflection mirror array.An example of this class array is the addressable matrices face that has viscosity key-course and reflecting surface.The ultimate principle of this class device is, for example, the reflection of the addressed areas of reflecting surface is as the incident light of diffraction light, and not the addressed areas reflection as the incident light of non-diffracted light.Utilize suitable light filter, described non-diffracted light can only keep diffraction light by filtering from reflecting bundle; Like this, light beam produces pattern according to the addressing pattern of addressable matrices face.Another example of program-controlled catoptron row adopts the arranged of micro-reflector, and each micro-reflector wherein can both be by applying suitable internal field or using piezoelectric actuated device and independently about an inclination.Moreover these catoptrons are matrix-addressables, thereby addressed mirrors will be along the direction reflection incident radiation beam that is different from non-addressed mirrors; Like this, beam reflected is just formed pattern by the addressing pattern according to addressable catoptron row.Required addressing matrix can use suitable electronic installation to finish.In two kinds of above-mentioned situations, patterning device can comprise one or more program-controlled reflection mirror arrays.About the more information of the reflection mirror array mentioned can obtain from for example U.S. Pat 5296891 and US5523193 and PCT patented claim WO98/38597 and WO98/33096 herein, these documents are hereby incorporated by.In the situation of program-controlled catoptron row, described supporting structure can be embodied as framework or worktable, for example described structure can be as required fix or movably.
Another example of patterning device is program-controlled LCD array.In U.S. Pat 5229872, provide an example of this class formation, be hereby incorporated by reference.The same, described supporting structure can be embodied as framework or worktable, for example described structure can be as required fix or movably.
For simplicity, be example specifically in the certain situation in this paper remainder with mask and mask stage; But the General Principle of being discussed in these examples is appreciated that in the more wide region of patterning device as mentioned above.
Lithographic apparatus can be used for for example manufacturing of integrated circuit (ICs).In this case, patterning device can generate the circuit pattern corresponding to an individual layer of IC, this circuit pattern can be imaged in the target portion (for example, comprising one or more circuit small pieces (die)) of the substrate (silicon chip) that has scribbled radiation-sensitive materials (resist) layer.Usually, single wafer will comprise the whole grid (network) of adjacent target portion, and wherein these adjacent target portions are by optical projection system radiation in succession one by one.By adopting the mask on the mask stage to form in the device of pattern, two kinds of dissimilar machines are arranged at present.In one type lithographic apparatus, by whole mask pattern single exposure is shone each target portion in this target portion.This class device is commonly referred to as wafer stepper.Another kind of device, so-called distribution scanister, by scanning mask pattern progressively, and come each target portion of radiation along being either parallel or anti-parallel to this given reference direction synchronous scanning substrate base station simultaneously in the given reference direction in projecting beam lower edge (" scanning " direction); Because in general, optical projection system has magnification M (general<1), so the scan velocity V of base station is M times of the mask stage sweep velocity.From U.S. Pat 6046792 for example, can collect the more information of relevant lithographic equipment described herein.
In a kind of method for making of known lithographic apparatus, pattern (for example, in mask) is imaged to small part and is coated with in the substrate of radiation-sensitive materials (resist) layer.Before this image-forming step, can carry out various processing to substrate, for example prime, apply resist and soft roasting.After exposure, can carry out other processing to substrate, for example postexposure bake (PEB), development, toast firmly and measure/check imaging characteristic.Based on this series of process, the individual layer of the device of for example IC is formed pattern.Then, can carry out various processing to this patterned layer, as etching, ion inject (doping), metalling, oxidation, chemistry, mechanical buffing etc. all processing these all be to be used for making an individual layer.Several layers if desired, each new layer all will repeat this Overall Steps or its variation so.The lamination (and putting layer) of guaranteeing various duplexers accurately is very important as much as possible.For this purpose, a little mark mask is set on one or more positions of wafer, and then limits the initial point of coordinate system on the wafer.(hereinafter referred to as " positioning system ") is used optics and electric device, and when a new layer will and be put on existing layer, this mark at every turn all can be by reorientation, and can be as the location reference in conjunction with substrate retainer positioning equipment.Finally, substrate (wafer) is gone up and row's device occurred.Then, by such as cutting or sawed-off operative technique these devices being separated from each other, single thus device just can be installed on the carrier, is connected with limb etc.From a book " microchip is made: the semiconductor machining practice is crossed the threshold " that for example is hereby incorporated by reference, the third edition, Peter van ant, McGraw Hill publishing house, 1997, ISBN0-07-067250-4 can obtain the further information about these processing.
For simplicity, optical projection system is known as " camera lens " hereinafter; But this term should for example comprise dioptrics device, reflective optics and catadioptric system by broad understanding for comprising various types of optical projection systems.Radiating system comprises that also these parts also can jointly or individually be called " lens " according to being used for guiding, be shaped or controlling the parts that arbitrary kind of design of transmitted radiation bundle is operated.In addition, lithographic equipment can be the type with two or more base station (and/or two or more mask stage).In this " multistage " equipment, can use these additional work platforms concurrently, perhaps when one or more worktable are used to expose and on one or more worktable, carry out preliminary work.For example, in U.S. Pat 5,969, the twin-stage lithographic equipment has been described in 441 and US6,262,796.
It is a committed step during integrated semiconductor circuit is made that photoetching is widely acknowledged to be.The replaceable technology of the required composition system architecture with similar degree of accuracy, speed and economic productivity can be provided at present.But because the size of ICs becomes littler, photoetching will become, and if not most important, also be one of important control factor, and wherein said factor makes and is achieved with the real extensive small semiconductor component arrangement of making.
The theoretical calculation that pattern is printed limitation can be provided by Rayleigh criterion resolution R in the equation (1):
R=k 1*λ/NA (1)
Wherein λ is the wavelength of used radiation laser beam, and NA is the numerical aperture and the k of lens in the optical projection system 1Be technology associated adjustment coefficient.
Follow equation (1), resolution can improve by three kinds of methods: shorten radiation wavelength λ, increase numerical aperture NA or reduce k 1Value.Carried out these schemes in the past, and wished still to continue in the future to carry out.
The serviceability of lithographic equipment and restriction thereof also can (DOF) make an explanation and illustrate that it is regarded as one of greatest factor that limits lithographic apparatus resolution usually by depth of focus (Depth Of Focus).The DOF of definition in the equation (2) also is defined as the distance of enough pictures rich in detail upper edge optical axis of pattern.
DOF=+/-k 2*λ/NA 2 (2)
K wherein 2It is empirical constant.
Additional characteristic curve/measured value helps to understand the actual difficulty of photoetching on resolution limit better and comprises exposure latitude (EL), density deviation (Dens Isolate Bias) (DIB), and mask error amplification coefficient (MEEF).Exposure latitude refers to the quantitative scope of the admissible number percent of critical dimension (CD) of print pattern, is generally 10%.Itself and DOF are used as the qualification process window together, i.e. technical requirement according to the rules keeps the focusing and the exposure area of final protective seam profile.The measured value of size difference between the DIB similar features depends on the density of pattern.At last, how MEEF explanation graticule (reticle) CD error is converted to wafer CD error.
Along with semi-conductor industry enters the long sub-micron epoch, because reduction, the difficulty of lens design and the complexity of lens manufacturing technology of depth of focus, the resolution of at present available photoetching technique reaches capacity.In order to address the above problem, making great efforts to improve resolution improvement technology always.
In the past, the resolution limit of lithographic projection apparatus is optimized by the control of the relative dimensions of illuminator numerical aperture (NA).This control about optical projection system object lens NA considers that the spatial coherence on mask plate sexually revises, so-called partial coherence.This control is passed through
Figure C200410035272D0011152232QIETU
The characteristic of collector lens pupil is achieved in the illuminator.In fact, this relates to the optical processing operation of diffraction information.Usually utilize the luminaire device that is full circle shape to realize the optimization of the partial coherence of projection imaging system.Distribution by with diffraction information in the luminaire pupil size control object lens can obtain the maximum image modulation.Illuminator also can be further improved by the various variations to full circle shape luminaire device.Illumination is incident on the mask with certain angle tilt in the system, makes zero level and first-order diffraction be distributed in the either side of optical axis both sides, and system is that the resolution improvement creates conditions.This method is so-called shines from axle.
Improve resolution about lens axis with certain angular illumination mask by radiation laser beam from the axle irradiation.Radiation laser beam is incident on the mask, plays the effect of diffraction grating, and the more order of diffraction radiation laser beams that pass lens by transmission improve the contrast of image.Improving effect with the shared resolution that is produced from the axle irradiation technique of conventional mask, to improve effect with the resolution of using phase-shift mask to obtain similar.
Improved other various improvement technology and improved resolution and increase depth of focus, these technology comprise the optical proximity correction (OPC) of optical adjacent error (OPE), phase-shift mask (PSM) and Subresolution supplemental characteristic (SRAF).Every technology can be used separately, or and the shared resolution of improving the photoetching device for projecting of other technologies.
A kind of method that produces from the axle irradiation is that metal diaphragm catch wave filter is inserted in the fly's-eye lens assembly of the optical projection system luminaire that oblique illumination is provided.Pattern on the above-mentioned sheet metal has four symmetrically arranged holes (zone), and the size in described hole and interval are provided with and allow the order of diffraction to overlap on the photomask to particular geometric sizing and load ratio.Such method makes that the light intensity loss that is mapped on the mask is huge, luminous flux reduces and make this method unsatisfactory.In addition, four circular ports need give particular design and not improve the characteristic in other physical dimension and gap at some mask geometric figure and degree of tilt.The technical description formerly of this area such method, it uses two or four holes of aperture plate.For example, referring to EP0500393, U.S. Pat 5305054, US5673103, US5638211, and EP0496891 and EP0486316.
Another method from axle irradiation is to use at patent U.S.6, four plot structures that disclose in 452,662, and this method is divided into light beam with the field of illumination of optical projection system, and described light beam can be shaped off-axis illumination light and be distributed on the photomask.Make the ability that is shaped from the axle irradiation owing to be embodied in, the output quantity and the adaptability of exposure light source are kept.In addition, this method relates to from axle and axle and goes up (routine) characteristic in conjunction with illumination.Like this, use the improvement of the dense feature of shining than directly remarkable reduction being arranged from the axle irradiation from the axle illuminating method., because these features more preferably adopt coaxial illumination, so the performance of less dense feature is better.Optical proximity effect between the consequently dense and sparse features reduces, and optimizes still less to depend on geometrical property and can select more general lighting condition.
With reference to accompanying drawing 2-5, sectional view shows present available illumination intensity distribution or lighting device with illuminated zone (hereinafter being referred to as the hole), comprise little or few SIGMA (σ) shape (accompanying drawing 2), annular (accompanying drawing 3), four utmost point shapes (accompanying drawing 4) and quasar shape (quasar) (accompanying drawing 5).The annular of accompanying drawing 3-5, four utmost point shapes and quasar shape technology are the synoptic diagram of off-axis lighting scheme.
Little σ shape illumination is that approximate zero irradiating angle (for example almost perpendicular to mask) is incident on the mask, and utilizes phase-shift mask to produce the good result that resolution improves and depth of focus increases.Ring illumination is incident on the mask with the angle of circular symmetric, and it improves resolution and increasing depth of focus, and still less relies on pattern than other illumination scheme.Four utmost point shapes and the illumination of quasar shape are incident on the mask with four main angles, improve resolution and increasing depth of focus, rely on but have very strong pattern.
With reference to accompanying drawing 6 and 7, illustrative two kinds of illuminators.The illuminator of accompanying drawing 6 and 7 explanations comprises light gathering/collimation optics 10; Axicon/zoom modules 12; Assemble and projection element 14 with light.Illuminator limits optical axis 16, pupil plane 18 and mask plate 20.Axicon/zoom modules 12 comprises 22, one concavees lens of a pair of axicon and convex lens, and the spacing between them can change.Module 12 also comprises zoom lens 24.
For the situation of diminished shaft prism, some examples of the available illumination intensity distribution in pupil plane 18 places have been shown in the accompanying drawing 8.The big I of hot spot changes between state A and B by the position that changes zoom lens.Similarly, the ring-type hot spot can change between state A and C by the space (spacing between the axicon) that changes axicon.
In order to improve the homogeneity of illumination, use optical integrator 26.Optical integrator in the accompanying drawing 6 adopts the light pipe 26 that forms as glass, calcium fluoride or quartz pushrod.Coupling mechanism 28 is coupled to the illumination light at pupil plane 18 places in the rod 26, also is provided with bar graph in the system as outgoing optical element 30.Compound eye in the accompanying drawing 7 (fly ' seye) element 32 plays the effect of integrator.In addition, object lens 34 and 36 make this projector optical apparatus complete.
From above-mentioned discussion as can be known, for resolution that improves the lithographic transmission device and increase process window, the process engineer has multiple scheme to select.Yet at given funds with in the time, (fab) tests on semiconductor manufacturing facility, thisly comprises that it is unpractical fully that these schemes of full test are improved the method for a durable processing (robustprocess).Since in the semiconductor applications production cycle cycle short relatively, be subjected to strict restriction at each bar new production line of research and development or time quantum that each manufacturing process spent.
Become a kind of growth interest in order to adapt to above-mentioned situation photoetching analog technology in the industry.Being used for can be only with the time to the simulation test of a new mask test optimization optical approximate correcting feature, and the wafer test that is substituted by the issue moon has become accomplished fact, and simulation is regarded as the effective means that auxiliary lithographic equipment improves and optimizes at present.
But owing to simulation rapid evaluation scheme, optimize operation and by reducing required test number (TN) to save time and money, therefore simulation is very useful as improving means.Simulation also can help to understand many physical phenomenons, the phenomenon that occurs when obtaining lithographic equipment wavelength peer or low-level features size as the limitation that advances (pushing) resolution in research range.At last, simulation is to make optical lithography extend to Asia-150nm scope and prolong 1,000,000 dollars of steepers and the powerful measure in 1,000,000,000 dollars of fabs serviceable lifes.
Summary of the invention
An object of the present invention is to optimize the lighting condition of lithographic apparatus by means of computer modeling technique.The invention provides the optimisation technique that adapts to various lithographic apparatus lighting conditions, for example comprise, process window (ratio of exposure/dosage range and depth of focus (exposure/dose latitude versus depthof focus), sideband (side lobe) printing and mask error amplification coefficient.
According to this purpose of the present invention, providing a kind of is used to utilize computer modeling technique to optimize the method for the lighting condition of lithographic equipment, described lithographic equipment comprises a luminaire and an optical projection system, and described method comprises: determine to be printed on suprabasil photoengraving pattern; Select analogy model; The source point of the grid in the pupil plane of selection luminaire; Calculate the independent response of indivedual source points, the analog result of one of each response expression or a series of use analogy models: and in the analysis foundation adjusted Illumination Distribution of calculated value accumulated result separately.Wherein select the step of analogy model to comprise, select a kind of method against corrosion that is used for impressing pattern in substrate, and select a model against corrosion, described model against corrosion be can prognostic experiment result's master pattern.
According to another object of the present invention, provide a kind of computer modeling technique to optimize the method for lithographic equipment lighting condition by the full calculating against corrosion of utilization, wherein lithographic equipment comprises luminaire and optical projection system, and described method comprises: determine to be printed on suprabasil photoengraving pattern; Select a model against corrosion: select the source point grid on the pupil plate; Calculate the independent response of indivedual source points, the analog result of each one of response expression or a series of uses model against corrosion; And in the independent analysis foundation adjusted Illumination Distribution of the accumulated result of calculated value.
According to another object of the present invention, provide a kind of calculating analogue technique to optimize the method for lithographic equipment lighting condition by utilization parfocal compensation technique, wherein lithographic equipment comprises luminaire and optical projection system, and described method comprises: determine to be printed on suprabasil photoengraving pattern; Select analogy model; Source point grid on the pupil plane of selection luminaire; Calculate the independent response of indivedual source points, the analog result of each one of response expression or a series of used models; To having the module (metric) that the indivedual source points that defocus calculate the independent response change of expression, and in the as analysed basis side adjusted Illumination Distribution of module.
According to another day of the present invention, a kind of method of optimizing the lithographic equipment lighting condition by the computer modeling technique of utilization aberration sensitivity (aberrationsensitivity) is provided, wherein lithographic equipment comprises luminaire and optical projection system, and described method comprises: determine to be printed on suprabasil photoengraving pattern; Select analogy model; Source point grid in the pupil plane of selection luminaire; Determine at least one aberration group for optical projection system, calculate the independent response of indivedual source points and at least one aberration group, the analog result of each one of response expression or a series of used models; And in the independent analysis foundation adjusted Illumination Distribution of the accumulated result of calculated value.
According to another object of the present invention, provide a kind of computer modeling technique to optimize the method for lithographic equipment lighting condition by utilization luminaire surface level mask auxiliary part, wherein lithographic equipment comprises luminaire and optical projection system, and described method comprises: determine to be printed on suprabasil photoengraving pattern; Select analogy model; That determines the mask auxiliary part is configured to help printing lithographic pattern in substrate; Source point grid in the pupil plane of selection luminaire; Calculating has and does not have the independent response of the indivedual source points of mask and at least one aberration group, the analog result of each one of response expression or a series of used models; And in the independent analysis foundation adjusted Illumination Distribution of the accumulated result of calculated value.
According to another object of the present invention, provide a kind of computer modeling technique to optimize the method for lithographic equipment lighting condition by utilization best CD (critical dimension) homogeneity module, wherein lithographic equipment comprises luminaire and optical projection system, and described method comprises: qualification will be printed on suprabasil photoengraving pattern; Select analogy model; Source point grid in the pupil plane of selection luminaire limits a plurality of parameters and variation range thereof; Calculate the independent response of indivedual source points and each parameter, the analog result of each one of response expression or a series of used models; Calculate the CD variation of photoengraving pattern is set in an expression according to a lot of parameters and indivedual source point module; And in the analysis foundation adjusted Illumination Distribution of module.
According to another object of the present invention, a kind of lithographic apparatus of carrying out said method is provided, comprising: a kind of illuminator that the projection radiation light beam is provided; A supporting member that supports the composition member, described composition member is used for according to required pattern described projecting beam being carried out composition; The base station of an anchoring base; One is incident upon the optical projection system of base target portion with the pattern light beam; A processor of determining to be printed on suprabasil photoengraving pattern, select the source point of a grid at the pupil plane place of illuminator, calculate response separately according to indivedual source points, each is single or a series of Simulation result of response expression use analogy model separately, and the Illumination Distribution of a kind of optimization of calculating on the analysis foundation that calculates accumulated result separately: and a kind of selectable variation bundle controller, it is suitable for changing the cross-sectional strength distribution of projecting beam, and described light beam is present in the illuminator of basis by the optimization Illumination Distribution of described processing calculating.
According to another object of the present invention, a kind of mechanical-readable media with mechanical executable command coding is provided, it is used for optimizing according to a kind of method the Illumination Distribution of luminaire, and wherein said method comprises: determine to be printed on suprabasil photoengraving pattern; Select analogy model; Source point grid in the pupil plane of selection luminaire; Calculate the independent response of indivedual source points, the analog result of each one of response expression or a series of use analogy models; And in the analysis foundation adjusted Illumination Distribution of calculating accumulated result separately, select the step of analogy model to comprise, select a kind of method against corrosion that is used for impressing pattern in substrate, and select a model against corrosion, described model against corrosion be can prognostic experiment result's master pattern.
According to another object of the present invention, a kind of manufacture method of equipment is provided, comprise: the target portion of the radiation laser beam that projection has pattern radiation-sensitive layer to the substrate, wherein before the incident mask, the method of using a kind of cross-sectional strength of optimizing projecting beam to distribute, described optimization method comprises: determine to be printed on suprabasil photoengraving pattern: select analogy model; Source point grid in the pupil plane of selection luminaire; Calculate the independent response of indivedual source points, the analog result of each one of response expression or a series of use analogy models; And in the analysis foundation adjusted Illumination Distribution of calculating accumulated result separately, select the step of analogy model to comprise, select a kind of method against corrosion that is used for impressing pattern in substrate, and select a model against corrosion, described model against corrosion be can prognostic experiment result's master pattern.
Although specifically be used for the manufacturing of ICs in this article according to device of the present invention, should understand clearly, such device may have many other application.For example, described device can be used for integrated optics system, is used for the guiding and the check pattern of magnetic domain memory, LCD panel, the manufacturing of thin-film head etc.Be to be understood that, in this interchangeable purposes scope, term as used herein " graticule (reticle) ", " wafer " or " circuit small pieces (die) " should be thought respectively can be by more common term " mask ", and " substrate " and " target portion " replaced.
In this article, term " radiation " and " light beam " comprise the electromagnetic radiation of used type, comprise that UV radiation (for example, wavelength is 365,248,193,157 or the radiation of 126nm) and EUV (extreme ultraviolet radiation, for example have the radiation of wavelength in 5-20nm scope), and the particle beams, as ion beam or electron beam.
Description of drawings
Below with reference to accompanying schematic figure, only the mode by embodiment illustrates embodiments of the invention:
Fig. 1 is the synoptic diagram of lithographic apparatus;
Fig. 2 is little or few, the synoptic diagram of σ shape Illumination Distribution;
Fig. 3 is the synoptic diagram from axle collar shape Illumination Distribution;
Fig. 4 is the synoptic diagram that is provided with from the irradiation of axle four utmost points;
Fig. 5 is the synoptic diagram that is provided with from the irradiation of axle quasar shape;
Fig. 6 is the synoptic diagram of illuminator;
Fig. 7 is the synoptic diagram of another illuminator;
Fig. 8 is the obtainable Illumination Distribution synoptic diagram of the illuminator of Fig. 6 and 7;
Fig. 9 also is the synoptic diagram of an illuminator;
Figure 10 a is the synoptic diagram of the multipole mould radiated element of illuminator among Fig. 9;
Figure 10 b is the synoptic diagram of multipole according to another embodiment of the present invention mould radiated element;
Figure 11 is the synoptic diagram of multipole according to another embodiment of the present invention mould radiated element;
Figure 12 is the schematic cross-section of another illuminator and the Illumination Distribution synoptic diagram that is obtained thereof;
Figure 13 is that explanation is used to optimize the process flow diagram according to the lighting condition method of the lithographic apparatus of the embodiment of the invention;
Figure 14 (a) is the schematic cross-section with luminaire of few σ shape Illumination Distribution;
Figure 14 (b) is the schematic cross-section with luminaire of four utmost point shape Illumination Distribution;
Figure 15 description standard aerial image log slope (NILS) is as the contour map of the source point function of position of specific photoengraving pattern (by the 65nm shielding wire of ChromelessPhase photo etched mask printing);
Figure 16 is that explanation is used to optimize the process flow diagram according to the lighting condition method of the lithographic apparatus of the embodiment of the invention;
Figure 17 is the contour map that the described method of Figure 16 obtains;
Figure 18 is that explanation is used to optimize the process flow diagram according to embodiment of the invention lighting condition method;
Figure 19 is relatively with the depth of focus (DOF) of different tests lighting condition simulation and the DOF value curve map that obtains with method described in Figure 16 and 18;
Figure 20 is the schematic illustration of the used several Illumination Distribution of Figure 19;
Figure 21 is according to commercial simulation softward (Prolith TM) and weight dosage (weighted dose) and add the embodiment of the invention of light source measurement and the DOF numerical value comparison sheet of several illumination profile of obtaining;
Figure 22 is the comparison synoptic diagram of two DOF numerical value, i.e. the DOF numerical value that obtains of the method that is used for Illumination Distribution shown in Figure 20 described in Figure 16 and 18, and calculate the DOF numerical value that commercial simulation softward obtains according to the full luminaire of utilization;
Figure 23 is the comparison synoptic diagram of two DOF numerical value, i.e. the DOF numerical value that obtains of the method that is used for Illumination Distribution shown in Figure 20 described in Figure 16 and 18, and calculate the DOF numerical value that commercial simulation softward obtains according to the full luminaire of utilization;
Figure 24 is the method flow diagram of explanation according to the employed parfocal compensation technique of embodiment of the invention optimization illumination;
Figure 25 is the contrast Bossung curve map that many CD and diacustic are shown, the exposure difference of each curve representative;
Figure 26 a and 26b illustrate the parfocal curvature synoptic diagram that calculates by calculating against corrosion and aerial image;
Figure 26 c shows Figure 26 a and 26b is the lithographic issues that the 90nm of 360nm place sectional hole patterns is studied according to pitch-row;
Figure 27 a and 27b are iso-curvature synoptic diagram that calculates by calculating against corrosion and aerial image and the state that opposition parfocal in the luminaire is described;
Figure 28 is the equal pitch contour synoptic diagram that the state of opposition parfocal is shown and the optimum illumination distribution of specific intrinsic pattern (90nm hole/360nm pitch-row) is described;
Figure 29 show CD as lighting condition optimization after the various variations of the function that defocuses of several exposure energies;
Figure 30 a has illustrated and has been arranged in the equivalent curve figure that each source point of luminaire is done to contribute to maximum exposure tolerance max-EL;
Figure 30 b illustrated be arranged in each source point of luminaire to the radiant quantity size than equivalent curve figure that E1:1 did to contribute;
Figure 31 is a pupil plate place Illumination Distribution in the luminaire, and wherein luminaire comprises that relating to parfocal compensates the information of exposure latitude and radiant quantity size ratio;
Figure 32 is the focusing exposure matrix by Illumination Distribution obtained among Figure 31;
Figure 33 shows the exposure latitude that Illumination Distribution shown in Figure 31 obtains;
Figure 34 shows the various variations of the exposure latitude that corresponding different lighting condition defocuses;
Figure 35 a-d illustrates respectively to the exposure latitude depth of focus, in exposure latitude 8% place's depth of focus and the analog result that is positioned at the parfocal curve of the corresponding 75nm sectional hole patterns in 400nm pitch-row place;
Figure 36 a shows and is illustrated in the analog result that 8% exposure latitude place depth of focus (DOF@8%EL) changes, wherein said exposure latitude is as the function of the distribution pitch-row (pitch) of dissimilar photographs, and described function is used for the pattern in corresponding 75nm hole as shown in Figure 36 b and 36c;
Figure 36 b is the pupil plane place Illumination Distribution of luminaire, and described luminaire comprises that relating to parfocal compensates, and the information of exposure latitude and radiant quantity size ratio also has 0.4 σ+0.90/0.4 quasar shape illumination;
Figure 36 c is the pupil plate place Illumination Distribution of luminaire, and described luminaire comprises that relating to parfocal compensates, and the information of exposure latitude and radiant quantity size ratio also has 0.4 σ+0.97/0.4 quasar shape illumination;
Figure 37 optimizes the method flow diagram of lighting condition according to the embodiment of the invention for explanation;
Figure 38 a shows for three lens, and bilinear figure CD changes the wavefront error function that causes as lens aberration;
The bilinear structural representation that the CD that Figure 38 b is caused by lens aberration for explanation is used to simulate changes;
Figure 39 a is equal pitch contour figure, and it has illustrated and has been arranged in each source point of luminaire to the influence of 8% exposure latitude depth of focus and to the distribution of depth of focus place each source point of luminaire of the estimation of bilinear structure described in Figure 38 b;
Figure 39 b is the equivalent curve figure of the estimation of bilinear structure shown in explanation parfocal curvature and Figure 38 b;
Figure 40 represents to change for three lens and as the bilinear structure C D of three types of illuminations (σ=0.25, σ=0.15, and little CQuad+ σ=0.15) of wavefront aberration function;
Figure 41 shows the different Illumination Distribution in pupil plane place of luminaire, and wherein luminaire is the examination example of Figure 40, σ=0.25 for example, σ=0.15, and little CQuad+ σ=0.15;
Figure 42 is according to the embodiment of the invention, as the equal pitch contour figure of the caused CD variation of the aberration of source point function of position;
Figure 43 is the equal pitch contour figure that expression 8% exposure latitude depth of focus changes, and wherein the exposure latitude depth of focus is as the source point function of position of identical bilinear structure shown in Figure 38 b and the simulation of Chrome-free (Chromeless) phase place photo etched mask;
Figure 44 is that expression is because according to the embodiment of the invention, caused CD changes equal pitch contour figure as the aberration of source point function of position;
Figure 45 represents to change for three lens with as the CD of the bilinear structure of three types of illuminations of wavefront error function (phase transition mask σ=0.25,30 ° in CPL mask 0.80/0.55 quasar, and 45 ° in CPL mask 0.85/0.50 quasar);
The exposure latitude that Figure 46 shows as three types of Illumination Distribution depth of focus functions shown in Figure 44 changes;
Figure 47 is the method flow diagram of explanation according to the optimization lighting condition of the embodiment of the invention;
Figure 48 is the channel patterns synoptic diagram with the mask accessory (anti-diffusion bar) that is arranged on each raceway groove both sides;
Figure 49 a and 49b are two equal pitch contour figure, and it has illustrated depth of focus and has been used to simulate parts shown in Figure 48 kind and the variation of the exposure latitude of the source point function of position of 6% decay phase conversion mask;
Figure 50 shows the exposure latitude variation of conduct about the function of the depth of focus of various anti-diffusion stripe pitch and various CQuad post size and length;
Figure 51 a and 51b are two equal pitch contour figure, its depth of focus has been described and be used to simulate Figure 48 plant shown in the variation of exposure latitude of source point function of position of parts (anti-diffusion rod) and 6% attenuating phase-shift mask;
The CD that Figure 52 shows groove changes, and wherein groove is the depth function that defocuses of 35 ℃ of Quad groove exposures and 0.1 σ illumination, and described illumination is selected by using the parfocal compensation to analyze;
Figure 53 represents the variation as the exposure latitude of depth of focus function, and wherein depth of focus is represented two Illumination Distribution that the embodiment of the invention shown in Figure 47 is selected;
Figure 54 represents the variation as the exposure latitude of depth of focus function, and wherein depth of focus is represented the effect based on exposure latitude;
Figure 55 optimizes the method flow diagram of lighting condition for illustrating according to the embodiment of the invention with best CDU tolerance;
Figure 56 illustrative be imprinted on 50nm bilinear composition on the CPL mask;
Figure 57 illustrates that according to the embodiment of the invention DOF@8%EL as the source point function of position changes equal pitch contour figure;
Figure 58 is the CD variation equal pitch contour figure as source point function of position of explanation according to the embodiment of the invention shown in Figure 55; With
Figure 59 has illustrated that the CD about several Illumination Distribution and various parameters changes.
Embodiment
Fig. 1 illustrative according to the lithographic apparatus 1 of the embodiment of the invention.Described device comprises radiating system Ex, IL, and structure makes it apply radiation projecting beam PB (for example UV or EUV radiation, for example, by operation wavelength is 248nm, radiation that the excimer laser of 193nm or 157nm sends or that sent by the laser discharge plasma light source of 13.6nm operation wavelength).In the present embodiment, radiating system also comprises radiation source LA.Described device also comprises first target (mask) platform MT, and it is provided with the mask retainer that is used to keep mask MA (for example, graticule), and is connected to the first locating device PM (not shown) so that this mask is accurately located with respect to optical projection system or lens PL; Second target (substrate) platform WT, it is provided with the substrate holder that is used to keep substrate W (for example, applying the silicon wafer of resist), and is connected to the second locating device PW so that substrate is accurately located with respect to optical projection system or lens PL.Optical projection system or lens PL (for example quartz and/or CaF 2Lens combination or refraction or catadioptric system, reflector group or field cause deflector (field deflector) array) the C of target portion that the radiant section of mask MA is imaged onto substrate W goes up (for example, comprising one or more circuit small pieces (die)).Optical projection system PL is supported on the benchmark frame RF.
As said, device can be transmission-type (for example, having transmissive mask)., in general, install also reflection-type, (for example having reflecting mask).In addition, described device can utilize the patterning device of other kind, as the above-mentioned program-controlled reflection mirror array type that relates to.
Radiation source LA (for example, be arranged on UV excimer laser, undulator or wig-wag around the electron beam light path in storage ring or the synchrotron, laser generates the plasma light source, radiation light source or electronics or particle beams light source) produces radiation laser beam PB.Described light beam PB is directly and after passing across regulating device as beam expander Ex, enter illuminator (luminaire) IL again, luminaire IL can comprise and selectively become control device of light beam or regulating device AM, and this device is used to set the outer and/or interior radius vector (be called usually σ-outer and σ-interior) of light beam light distribution.In addition, luminaire also generally includes various other elements, for example integrator IN and condenser CO.In this mode, the light beam PB that shines on the mask MA has required uniformity coefficient and light distribution at its sectional tool.
Still with reference to Fig. 1, projection arrangement also comprises a control module CU, and it can be by program control carrying out for example Figure 14, illustrated method in 16,18,24,37,47 and 55, and the different elements of may command lithographic equipment.In one embodiment of the invention, control module CU can comprise a processor, this processor regulating and controlling device AM and the projecting beam cross section light distribution of adjusting luminaire IL outgoing.
Also should be noted that with reference to Fig. 1, light source LA can be positioned in the housing of lithographic apparatus (for example, during normal conditions, light source LA is a mercury lamp), but also can be away from lithographic apparatus, the radiation laser beam of generation is imported into (for example assisting down in the directing mirror that is fit to) in the described device.When light source LA was excimer laser, the described situation of the latter often appearred.The present invention includes both of these case.Especially, among the embodiment that the present invention includes, radiating system Ex, IL are suitable for producing the projection radiation light beam less than the 250nm wavelength, for example have 248nm, 193nm, 157nm, the wavelength of 126nm and 13.6nm.
Light beam PB intersects with the mask MA that is fixed on the mask stage MT then.After being horizontally through mask MA, light beam PB passes camera lens PL, and this camera lens focuses on target portion C place on the substrate W with light beam PB.Under the help of the second positioning equipment PW and interferometer IF, base station WT can be moved exactly, for example for the different C of target portion in location in the light path of light beam PB.Similarly, for example after machinery takes out mask MA from the mask storehouse or in scanning process, the first positioning equipment PM (not shown) can accurately be located mask MA according to the light path of light beam PB.Usually, under the help of long stroke functional block (coarse positioning) and short stroke functional block (fine positioning), can realize Target Station MT, WT moves., (relative with the substep scanister) mask stage MT only can be connected to or be fixed on the short stroke actuator in wafer stepper.Use mask alignment mark M 1, M 2With substrate alignment mark P 1, P 2Mask MA and substrate W are aimed at.
Described device can be used for following preference pattern:
1. in step mode, give the whole mask image of projected light beam and once throwed (being single static exposure) to the C of target portion, mask stage MT and base station WT keep motionless substantially.Base station WT and then move at X and/or Y direction is so that the different C of target portion obtains exposure.In step mode, the maximum region of exposure defines the size of the C of target portion of single static exposure imaging.
2. in the pattern of scanning, the mask pattern of giving projected light beam is throwed (being the exposure of single action attitude), and to the C of target portion, mask stage MT and base station WT are scanned simultaneously.By the inverse imaging characteristic of (contracting) amplification and optical projection system PL, determine speed and the direction of base station WT with respect to mask stage MT.In scan pattern, the maximum region of exposure defines the hit width (in non-direction of scanning) of portion of single action attitude exposure, and the length of scanning motion has been determined the height (in the direction of scanning) of target portion.
3. in other pattern, the mask stage MT kept stable of fixing program-controlled patterning device when the mask pattern of giving projected light beam is projected onto the C of target portion, moves or scanning base station WT.In this pattern, use impulse radiation light source and at every turn moving between back or the double pulses of radiation in the single pass process usually at base station WT by the program-controlled patterning device of required renewal.This operating mode can be applied in the mask-free photolithography at an easy rate, and described mask-free photolithography utilizes program-controlled patterning device, as top related program-controlled reflection mirror array type.
Also can use the combination and/or the variation of above-mentioned pattern, maybe can use diverse use pattern.
With reference to Fig. 9, illuminator can comprise light gathering/collimation optics 10; Axicon/zoom modules 12; Multipole mould radiated element 38; And light is assembled and projection optics 14.Described element is along optical axis 16 placements and be used to shine the mask MA that is positioned on the mask plate 20, and then produces exposing patterns via optical projection system or camera lens PL in the resist layer on wafer W.Illuminator illustrated in fig. 9 comprises quartzy bar-shaped smooth collector 26.Optical axis 16 shown in Fig. 9 can be folded to produce compacter illuminator.
Figure 10 a illustrates a preferred embodiment of multipole mould radiated element 38.Element 38 is inserted in the light path at pupil plane 18 places, and this element has four triangular vane 41,42,43,44 and forms Maltese cross 40, also can be described as Maltese aperture blade (MAB) at this.Each blade has an apex angle ss.Figure 10 b shows the combination of the ring illumination pattern that axicon/zoom modules 12 and MAB40 produce and the illumination intensity distribution that produces.Described distribution has four light beams or the utmost point 45.Present embodiment can be realized the quadrupole illuminating pattern of continuous variableization.The radial position of each utmost point 45 can be changed by adjusting axicon optical element 22, the radial width of each utmost point is changed by adjusting zoom lens 24, tangential utmost point width can be changed Maltese cross shape 40 as shown in Figure 11 by inserting another vane group with different apex angle ss 1.Remove all blades, illuminator can be used for routine and/or circular pattern, changes continuously again.
Make that by the blade that inserts different drift angles tangential utmost point width is changed with discontinuous step.According to another embodiment of the invention, tangential utmost point width can be comprised, and each arm of the Maltese cross shape of n group blade continuously changes, and its systematic optical axis about place, their summits can rotate mutually.
According to other embodiment, multipole mould radiated element 38 only uses two blades in optical system, and described system illuminator as shown in Figure 9 comprises the photoconductive tube as rectangle quartz pushrod 26.One of blade is parallel with the minor face of photoconductive tube 26 square-sections, and another blade is parallel with long limit.Because a plurality of reflections in the photoconductive tube 26, consequent light illumination mode are the patterns that annular and four utmost point shapes are mixed.The twayblade system can produce the light illumination mode that comprises four utmost point elements, because described four utmost point elements compare Maltese cross the less blade that stops light beam is arranged, thereby has lower energy loss.Blade is a triangle all in one embodiment, and resembles two upright arms of Maltese cross, for example blade shown in Figure 10 a 41 and 42.One or two blade in the present embodiment can be the combined blade that comprises aforesaid rotatable vanelets group.
Blade is general along the direction location consistent with mask MA cross line, so that auroral poles 45 is positioned at each quadrant with cross line central angle at 45.This orientation can produce line optimization projection, is used in particular for fine and close member (dense structures), as is used for the member of similar DRAM.Cross line is commonly referred to horizontal line and perpendicular line.Because pattern characteristics minimizing dimensionally more preferably is positioned at each quadrant becomes 90 ° of central angles with cross line auroral poles.The best σ shape that is suitable for the irradiation of four utmost points can be estimated by formula:
Figure C200410035272D0023110330QIETU
Figure C200410035272D0023110348QIETU
The best σ shape that is suitable for dipole and 45 ° of rotation four utmost points can be estimated by formula: σ=λ/(2NA pitch-row).
The further variation of the embodiment of above-mentioned use blade is to make optical axis 16 rotations of all blades about illuminator, so that the position of auroral poles is rotatable.
With reference to Figure 12, another exemplary embodiments of the present invention comprises having the axicon/zoom modules as the cone prism 50 of multipole mould generating device.This also makes conventional, and throwing light on four utmost point shapes of annular produces the continually varying pattern.Figure 12 shows the optical element of axicon/zoom modules.The right side of Figure 12 shows for axicon 22a, the illumination intensity distribution at the pupil plane place, various location 18 of 22b and zoom lens 24.Axicon comprises a pair of element with conical surface to 22, a concave volume 22a, and a convex body 22b is to produce circular and ring illumination pattern.The 4th row shows the effect that cone prism 50 is separated among the convex body element 22b.Convex body element 22b is the spill cone towards one side of pyramid 50, and it is used to engage cone 50.Convex body element 22b and cone 50 comprise second axicon of known diminished shaft prism or pyramidion shape.Cone prism 50 has bottom, one or four limits, its corresponding generation four utmost point mode illumination patterns, 4 illuminations shown in Figure 12 lower right side.
Axicon shown in Figure 12/zoom modules allows the continuous variation of light illumination mode from routine to the annular or four utmost points.Zoom lens 24 are determined spot size or partial coherence coefficient, and axicon is determined annular to 22, and pyramid 50 is determined four utmost point shapes.In addition,, in fact do not have optical loss, thereby obtain highoutput because luminous flux is reallocated rather than is blocked.
Method of the present invention is convenient to the optimization of the lighting condition of lithographic equipment, and described optimization is by selecting the suitable distribution scheme of luminaire by computer simulation.In an embodiment of the present invention, the optimization of lighting condition obtains by a kind of method, and this method comprises that qualification will be printed on suprabasil photoengraving pattern; Select analogy model; Select the source point grid of the pupil plane of luminaire; Calculate the response separately of indivedual source points, the analog result of each one of response expression or a series of use analogy models; And in the Illumination Distribution of the analysis foundation adjusted luminaire of the accumulated result of calculating respectively.
With reference to Figure 13, will the exemplary embodiments of the optimization method of lithographic equipment lighting condition be described.
Method starts from step (A1), has wherein determined lithographic issues.Lithographic issues refers to be printed on suprabasil specific pattern.This pattern is used to optimize the parameter of lithographic equipment and the appropriate configuration of selecting illuminator, and it is the most representative actively (aggressive) layout in the mask design.For example, this can be that to have line be the feature of 1:1 to 1:20 or simultaneously with the pattern of dense feature and sparse features grouping every dutycycle.
The step of described method (A2), the selected analogy model that calculates the pattern distribution plan.
The analogy model of the embodiment of the invention can comprise an aerial image model.In this case, the distribution of incident beam energy distribution on photoresist will be calculated.The calculated value of aerial image is represented with scalar in the Fourier optics device or vector form.Especially, this simulation can be at commercially available simulation softward, as Prolith TM, Solid-C TMDeng auxiliary under be achieved.In the present embodiment, the characteristic of the different elements of lithographic equipment as numerical value aperture NA or specific pattern, can be transfused to as input parameter for simulation.
The image that present embodiment calculates can contrast some preset standard assessments, similarly is enough contrasts not to be arranged successfully to print off required feature in the photoresist on wafer with process decision chart.Can pass through focusing range analysis space picture, provide the estimation of exposure latitude and DOF and for reaching the program that best optical condition carries out repeatedly.Especially, the quality of aerial image can be determined by using contrast or standardized aerial image log slope (NILS) tolerance mark (being normalized to characteristic dimension).The slope of this numerical value and image intensity (or aerial image) is consistent.
In this specific embodiment, the crucial correlation parameter that is used for the simulation of running space picture can comprise the focal plane distance of Gauss's image planes, promptly arrives the distance of optimum focusing face, and its centre wavelength by geometry ray optics element or quasi-monochromatic source is determined.These parameters can also comprise the measured value of illuminator space segment degree of coherence, the numerical aperture of the lens combination at the semiconductor-based end of irradiation, the aberration of optical system and the integral body description of explanation optical mask space transition function.
Should be appreciated that the selection of analogy model in the step (A2), be not limited only to the aerial image model.Other model, but resemble the lumped parameter model or variable threshold model against corrosion may be used in other embodiments of the invention.In all these embodiment, select the model that is complementary with test figure for use.
Should be appreciated that also the use of simulation in the step (A2) is not limited to the calculating of the figure of resist, and this simulation also can move the response of selecting additional/complementation, resemble and process tolerance, density feature deviation, sideband printing and mask error suseptibility.
After limiting model against corrosion and crucial correlation parameter, method proceeds to step (A3), promptly represents the source point grid of source beam discretize, is defined in the pupil plane of luminaire.
More particularly, each represents that the illumination data of independent grid point produces and is deposited with in the simulation softward.Light source point in data forms light source point grid, the whole cross section of pupil plane 18 place's luminaires of illuminator shown in the coverage diagram 7-9 of described light source point grid space.Calculate the response of lithographic apparatus according to each grid point.Each independent response results can be drawn on the equal pitch contour figure, as shown in Figure 15.The quantitative test of source point response results allows the mutual comparison of different tests illumination profile to distribute to determine an optimum illumination separately.
Each light source point is placed in the independent source point data with respect to the physical location of whole illumination aperture, and can change according to required precision.Little spacing between each light source point provides more details about the light source response, yet does not obviously increase computing time.On the contrary, the precise information that the big spacing between each light source point can provide less relevant light source to respond, but obviously reduced computing time.In one embodiment of the invention, the grid spacing with respect to whole luminaire aperture is approximately 0.1.In other embodiments, the grid spacing is approximately 0.01 to 0.2.
With reference to Figure 14 (a) and 14 (b), the cross section diagram of luminaire has been described, described luminaire is covered by the light source point grid.In the present invention, calculate the response of projection lithography device according to each grid point.The luminaire perimeter of section is illustrated that by circumference 1 described circumference has been described the profile of whole illumination aperture (maximum numerical aperture).These figures have also illustrated light source grid 2.
In one embodiment of the invention, to how work in order to assess a test luminaire, consider promptly that luminaire uses and configurable with lithographic apparatus, cover on the grid test lighting pattern and average in some way response of calculating in spreading all over included grid point.Figure 14 (a) has illustrated the light intensity of the projecting beam with annular spread, and Figure 14 (b) has illustrated the light intensity of the projecting beam with quasar distribution.
Should be appreciated that also the source point grid can be different qualifications in other embodiments of the invention.For example, as a kind of replacement of illumination data, the source point grid can be determined by concrete parameter (parametrically) in simulator software.
Still with reference to Figure 13, the optimization method of lithographic apparatus lighting source enters step (A4), wherein calculates response separately according to each grid source point.
In particular, each responds the analog result of the one or a series of use analogy models of expression separately.Potential response for example can comprise, the critical value of the desirable strength of the CD of target portion in the critical dimension of pattern or the qualification substrate.In another embodiment of the present invention, other response can comprise depth of focus (DOF), exposure latitude (EL), and 8%EL place depth of focus (DOF@8%EL), the dosage size compares E 1:1' density feature deviation, arbitrary characteristics dimensional discrepancy, sideband (sidelobe) printing, film loss, side wall angle, mask error amplification coefficient (MEEF), linear sharpness, or absolute resolution.
For the optimization of floor light condition, can draw by the equal pitch contour of source point function of position response and can estimate out result of calculation.
With reference to Figure 15, provide the exemplary embodiments of a kind of equal pitch contour figure according to the inventive method.Figure 15 is corresponding to the upper right portion of pupil plane 18 place's luminaires.As shown in this Fig, the source point grid has the 0.1:0.1 spacing with respect to the total value aperture of luminaire.Because symmetry only needs to observe the luminaire right upper quadrant.
Lithographic issues is to print the pattern of 65nm septal line with Chrome-free (Chromeless) phase place photoetching (CPL) mask.In the present embodiment, the wavelength of projecting beam is that the numerical aperture of 193nm and lithographic apparatus is 0.85NA.Realize the simulation that 0.2 μ m defocuses.
In embodiment illustrated in fig. 15, the response of being studied is a standardization aerial image log slope (NLS), and it is corresponding to the log slope of intensity image (or aerial image).As shown in Figure 15, equal pitch contour figure has illustrated the NILS numerical value as source point function of position in the luminaire that obtains on wafer.Illustrate the source point position and only be positioned at about 45 ° (with respect to the mask orientations of reaching the standard grade) and 0.7 to 0.8 radius, just have the obvious distribution of big NLS numerical value, thereby have the obvious distribution of depth of focus.The illumination light of sending from this zone is suitable for printing this extra-regional illumination light of septal line and then is not suitable for.Therefore expectation utilizes the quasar distribution to make its maximization for the process window of this specific lithographic issues.For example the performance that quasar distributes is determined in the response that can cause by the independent source point of on average being caught by luminaire.
Review Figure 13, the method for the lighting condition optimization of lithographic apparatus enters step (A5), promptly adjusts the lighting condition of luminaire on the analysis foundation of response.In an embodiment of the present invention, for example can be by axicon 22a among conversion Figure 12, the position of 22b and zoom lens 24 is achieved.In one embodiment of the invention, realize the adjusting of luminaire by control module CU.
Should be appreciated that in the situation of needs assessment test luminaire performance, finished RESPONSE CALCULATION luminaire source point that unthreaded hole is captured.These source points give illustrative by the bullet among Figure 14 (a) and 14 (b).In these special circumstances, for example, determine the performance of luminaire by the response that on average causes by these independent source points of capturing.
With reference to Figure 16, with the method for explanation according to the lighting condition optimization of the lithographic apparatus of the embodiment of the invention.In this embodiment of the present invention, obtain the optimization of lighting condition by the computer simulation of using the full result of calculation against corrosion that draws by a kind of method, wherein said method comprises: qualification will be printed on suprabasil photoengraving pattern; Select a model against corrosion; Select the source point grid; Calculate the independent response of indivedual source points, the analog result of each one of response expression or a series of uses model against corrosion; And in the independent analysis foundation adjusted Illumination Distribution of calculated value accumulated result.
As previously shown, can be by incident light energy on the simulation photoresist surface distribute optimal illumination condition that limits luminaire and the suitable design of selecting the aperture.According to before entering resist, the fact of light intensity in the top surface of photoresist, this amount is defined as aerial image.And then the image that assessment is calculated according to preassigned, process decision chart similarly is not have enough contrasts can successfully print required figure in the photoresist of wafer.The analysis space picture to be providing the estimation of exposure latitude and depth of focus, and carries out this step repeatedly to obtain best optical condition.
As previously shown, the quality of aerial image can be determined by using standardization aerial image log slope (NILS) module (standardized dimension of picture).This numerical value is consistent with the log slope of intensity image (or aerial image).In the method, the optimal illumination adjustment or the setting can utilize some fixedly the analysis of the aerial image module at values of defocus place (as NILS or contrast) determined.Can in the high-quality aerial image, find best lithography process tolerance.
In order to simulate the aerial image of mask pattern, the parameter of lithographic equipment different elements is as the input parameter in the simulator program.These parameters generally comprise the geometric parameter and the optical parametric of optical projection system and luminaire, as the partial coherence factor sigma of the numerical aperture NA and the lithographic equipment of optical projection system.Although some parameters can be to limit the profile of photoresist headroom picture, be used for the theory of computed image very flourishing and should theory based on the Fourier optics of scalar or vector form.
, " pure aerial image " method can accurately not describe to be printed on suprabasil final graphics.This is because this method has been ignored the influence of picture receiver, the i.e. influence of photoresist.For example, the effect of photoresist electromagnetic field is also referred to as the vector effect, and the physics of resist and chemical characteristic are not all considered in the calculating.Basically, in order to be complementary with the aerial image estimated value, use fixed light intensity threshold to determine to print CD, photoresist must have infinitely-great solubleness with respect to the zero level diffusion of photoproduction body (photo-generated species).Yet such photoresist agent method does not exist.A kind of accurate photoresist analogy model comprises the diffusion and the effect relevant with respect to the limited dissolving of actual photoresist of same active matter (it " smears " the projection optics image), and provides the prediction of Pass Test.The reason of difference between aerial image prediction and the actual resist method, and their some characteristics, at " The ResistVector:Connectiong the Aerial Image to Reality; " Proc.SPIE, Vol.4690, p.366 (2002)-literary composition is discussed, and it is hereby incorporated by reference in full.
Therefore, for the process window of accurately predicting lithography process, or select best Illumination Distribution, full calculating against corrosion is desirable.In theory, this calculating should be considered the step of photoresist exposure, photoresist baking (PEB) and development of photoresist.When the photoresist exposure occurs in projecting beam by activation anticorrosive additive material molecular changes resist chemical characteristic.According to the characteristic of resist, that is, conventional I-line corrosion stability or chemistry amplify corrosion stability, the absorption coefficient variation of using the interaction between different modeling projecting beams and the anticorrosive additive material and calculating anticorrosive additive material.
Described method starts from step (B1), has determined lithographic issues at this.Lithographic issues can illustrate the particular geometric pattern that will be printed on the wafer.
And then, enter step (B2), determine the method against corrosion that impressing pattern uses, set up model and be carried in the simulation softward, as Prolith TM, Solid-C TMDeng.Preferably, the resist model should be paid attention in following calculating, and the critical dimension of resist (or size) and it are with the variation such as dosage and focusing variable, photoresist exposure, photoresist baking and development of photoresist.Other reason, as density feature deviation, sideband is printed and mask error amplification coefficient, also can be determined and simulates by simulation softward.
Similarly, can consider selected resist model in (B2), in the embodiment of the invention, unevenness, space configuration and the vector effect of dispersing by resist of electromagnetic field cause.The vector effect relates to the electromagnetic wave oblique propagation when using high-NA.Although these vector effects can computer memory as the time pay attention to, the calculating of aerial vector effect is too high has assessed the contrast loss on the wafer.This is owing to a fact, when promptly incident ray is propagated in resist, owing to the resist refractive index is easy to be aligned.Therefore the resist model that has accurate electromagnetism calculating can preferably come accurately to determine the resist response.
(B2) the resist Model Selection in also can be paid attention in the photoresist baking of the embodiment of the invention.Can before development resist pattern, carry out the post-exposure oven dry.Outside desolventizing, allow light-sensitive compound middle and high concentration zone to be diffused into low concentration region, thus the filtering standing wave pattern.Can use traditional scattering method to simulate this baking method.In addition, can use the analogy method of other solution nonlinear scattering effect in other embodiments of the invention.
Described method and then enter step (B3): the illumination data of representing independent grid point respectively produces in simulation softward and loads.The light source point that data comprised forms the light source point grid, and the whole cross section of the luminaire at pupil plane 18 places of its space covering illuminator is as shown in Fig. 7 and 9.
Described method and then enter step (B4): according to utilizing full computing method against corrosion that each independent source point is calculated independent response.In the embodiment of the invention shown in Figure 16, each independent response can be represented the single or series of results of one or a series of utilization analogy model simulation.The response of being planned can comprise, depth of focus (DOF) for example, and the depth of focus (DOF@8%EL) that exposure latitude (EL), 8% exposure latitude (DOF) are located, the dosage size compares E 1:1, density feature deviation, the dimensional discrepancy of arbitrary characteristics, sideband (sidelobe) printing, film loss, side wall angle, mask error amplification coefficient (MEEF), linear sharpness, or absolute resolution.These responses can intuitively show by the contour map of drawing as source point function of position result.
With reference to Figure 17, show the example of the equal pitch contour figure of method acquisition thus.This diagrammatic representation the right upper quadrant part of pupil plane 18 place's luminaires.The response of imagery is micron-sized depth of focus.As shown in Figure 17, the source point grid has the 0.1:0.1 spacing with respect to the full aperture of luminaire.Lithographic issues is for printing the pattern with 65nm separator bar with Chrome-free phase place photoetching (CPL) mask, 0.85NA and 193nm radiation.Because symmetry only needs to estimate the upper right portion quadrant.The depth of focus that obtains on this equivalence graphical representation wafer as source point function of position in the luminaire.Figure only shows and is positioned at about 45 ° (with respect to the mask orientations of reaching the standard grade) and locates and the source point of about 0.5 to 0.8 radius, and it has tangible depth of focus distribution.The illumination light of sending from this zone is suitable for printing the extra-regional illumination light of separator bar pattern and then is not suitable for.Figure also shows the maximum depth of focus of about 0.5 to 0.6 μ m, and it is supposed to have the optimum kind celestial body and distributes.Similar equal pitch contour figure can compare E according to exposure latitude and dosage size 1:1And obtain.
After the independent response of calculating according to indivedual source points, described method and then enter step (B5) is promptly adjusted Illumination Distribution on the accumulation result's of calculated value separately analysis foundation.Also can limit the performance of best distribution, for example can realize by the response that average actual illumination device is captured.
With reference to Figure 18, with exemplary embodiments that is used to obtain the accurate process window of photoetching of explanation.In this embodiment, described method originates in step (B1) and enters into (B5) in the same mode of the embodiment shown in Figure 16.Described method and then enter step (B6) is carried out first order dosage to the average response value and is proofreaied and correct.The first order is proofreaied and correct and can be realized by simple average, and promptly the point that goes out in the circle 1 by number is realized.The first order is proofreaied and correct also and can be realized by the insertion of making extra grid point.In a preferred embodiment, the first order is proofreaied and correct still and can for example be realized by the dosage weighted mean by the source point weighting (weighting) based on the accurate dosage that is calculated.Projection arrangement can only be used a kind of dosage., this result of calculation can be the definite optimal dose of each independent grid point.Even circle 1 point that is comprised has a good independent response, if but its dosage is kept off the dosage that lithographic apparatus provides, and this does not have favorable influence to the response that the actual illumination device is had yet.Independent point so they separately dosage and the difference basis of the dosage of lithographic apparatus on by weight.Some weight with less difference is higher than and has than the big difference point.The first order is proofreaied and correct and can be achieved by combination insertion and dosage weighted mean.
In one embodiment of the invention, obtain accurate numerical value by increasing the source point quantity that the aperture comprised.This can be achieved by the spacing that reduces between the source point.In another embodiment of the present invention, linear insert grid can with less grid spacing employed together.The grid that inserts has the smoothed average effect by " high resolving power " grid is provided, and should reduce the slight caused data error of displacement of specific source point of being caught because of change by the test luminaire by " high resolving power " grid.
The first order is proofreaied and correct the average response be applied to the described method of Figure 16 and is calculated, and promptly uses the average response of full calculating against corrosion, is to be understood that similar approach can be at Figure 13, is carried out in the method for explanation in 24,37,47 and 55.
With reference to Figure 19, by the simulation that the commercial simulation softward that uses full calculating against corrosion obtains, the result of calculation that obtains with method described in Figure 16 and 18 contrasts.Finish calculating according to above-mentioned several embodiment.Just, use independent source point (method shown in Figure 16, " average light chart ") DOF numerical value, first order dosage is proofreaied and correct (" the dosage weighted mean of light chart "), inserts grid (" inserting and the mean chart that throws light on ") or insertion grid and first order dosage and proofreaies and correct in conjunction with (" inserting and dose weights ") calculating depth of focus.
Band is represented several depth of focus values (having simultaneous 8% an exposure latitude constraint condition) that acquisition is set by luminaire in the chart.Figure 20 represents the different distributions used in this test.Show the projecting beam cross section at each luminaire pupil plane 18 place.For example, the suitable adjustment by multipole mould radiated element 38 among Fig. 9 obtains these different Illumination Distribution.
The lithographic issues of design is consistent with the pattern in the 80nm hole with 200nm pitch-row in this test.By using the business software (Prolith of full luminaire computing method TM) obtaining the simulation of resist intermediate pore size, this analog result compares with the average result that grid is simulated.The result of calculation that obtains with the test luminaire compares with the result that above-mentioned different averaging methods obtain, and can carry out the accurate quantification analysis and can select the optimal illumination device these averaging methods.Because the grid point of average computation lacked than the various test luminaire required times of simulation of operation, so the present invention can determine the optimal illumination device fast according to specific lithographic issues.
Figure 19 has illustrated with tediously long Prolith TMThe result of calculation that method described in calculating and Figure 16 and 18 obtains occurs in the selection of optimal illumination device dose weights insertion simultaneously.
With reference to Figure 21, based on the DOF predicted value (simulation constrains in 8% exposure latitude) of weight and the measurement of insertion source point, with Prolith TMThe predicted value that is obtained contrasts.Above-mentioned comparison needle carries out several test luminaires (shown in Figure 18).Data show that the predicted value that the inventive method obtained is mated Prolith preferably TMThe predicted value that is obtained.These results point out, method of the present invention is mated the predicted value that the actual illumination device obtained preferably and is used for light source and selects, optimizes and design.
With reference to Figure 22, the predicted value that full calculating against corrosion (according to method described in Figure 16) is obtained contrasts in the predicted value that different values of defocus (NILSF2 and NILSF3) are obtained as module with normed space.Being used to maximize defocusing of process window and definite optimal illumination condition is 0.2 μ m (NILSF2) and 0.3 μ m (NILSF3).Lithographic issues is same as described above and identical with the pattern in the 80nm hole of 200nm pitch-row, and employed test luminaire identical with described in Figure 20.Its presentation of results aerial image module predicts a littler scope with the optimal illumination device.
With reference to Figure 23, different lithographic issues has been carried out the test similar to Figure 22.The lithographic issues of design is consistent with the pattern in the 80nm hole with 300nm pitch-row in this test.The aerial image light intensity that NILS and mask edge MEF2 (for example having 0.2 μ m defocuses) locate does not all obtain the optimal illumination device by full simulation reckoning against corrosion.
Figure 22 and 23 described test findings explanation NILS measure, and it can be used to maximize process window, still less accurately calculates the optimal illumination condition and does not further quantitatively calculate process window.For some printing that has the processing of suitable contrast and be not subjected to the aerial image restriction, be what not expected along with NA increases the decline of (stronger vector effect) degree of accuracy.
With reference to Figure 24, with a kind of method that be used to optimize lithographic apparatus lighting condition of explanation according to the embodiment of the invention.In the present embodiment, determine the selection of luminaire equipment so that the basic parfocal of photoetching method that obtains is covered on the predetermined de-focus region.In one embodiment of the invention, the method for acquisition parfocal compensation comprises: qualification will be printed on suprabasil photoengraving pattern; Select analogy model; Select the source point grid on the luminaire pupil plane; Calculate the independent response of indivedual source points, the analog result of each one of response expression or a series of used models; Calculate an expression and independently defocus the source point module of relevant variable separately, and in the analysis foundation adjusted Illumination Distribution of module.
In method shown in Figure 24, promptly for many lithographic issues, there are two opposite failure mechanisms based on a fact in the optimization of lighting condition in defocusing.The principle that illustrates among Figure 25, the focusing exposure matrix at fixation of C D place on the expression mask.Be also referred to as the described matrix of Bossung curve map, obtain important photoetching information and more particularly obtain the CD variable of conduct for different exposure energy focus function.
In Figure 25, effective dosage of photoetching and focal length, by its etc. printing required size characteristic performance limit.In this chart, if the significantly variable minimum degree of focal length and dosage have influence on the CD of target portion, think that then described photoetching method is durable.Especially, this requires the CD that is printed to remain in the scope that can accept CD.The CD that described scope is comprised between dotted line (1) and (2) in Figure 25 gives illustrative.In theory, this durable method is described by AB " parfocal " section in the Bossung curve map.
So shown in the chart, the error of focal length and dosage can cause two kinds of reverse effects, and it can cause failure mechanism to photoetching method.First kind of effect is characterized in that CD amplification exceeds can be accepted outside the CD scope, and second kind of effect can be accepted outside the CD scope for the CD amount of decrease exceeds.
Therefore, in order fully to propose photoetching method, realize the parfocal insensitiveness of focusing error (for example to) optimization of photoetching in one embodiment of the invention by the mode that compensates mutually with an effect and another effect.More particularly, in an embodiment of the present invention, locate the failed areas that high CD produces by defocusing, obtain parfocal optimization by the failed areas balance that produces by the little CD in the place of defocusing.By so doing, the CD variable in the process window reduces suddenly, can obtain the basic processing such as Jiao of grade in predetermined focusing range, and increase the focusing range (DOF) that residue can be accepted the CD zone.
More particularly, a kind of method that is used to optimize the lithographic equipment lighting condition of the embodiment of the invention provides a kind of calculating to defocus the new module of the CD of target portion variable.For each source point that is arranged in the luminaire, module described in the present embodiment is calculated the CD at the given place of defocusing and this result of calculation is compared with the CD result who obtains in optimum focusing place.This calculating that is arranged in all source points of luminaire is carried out continuously repeatedly.And then on the control basis as a result that each source point obtains, finish the adjusting of lighting condition.More particularly, in substrate, produce the Illumination Distribution in the zone of little CD at the zone and the luminaire that defocus under the condition in producing high CD in the substrate, realize the adjusting of lighting condition by selecting a kind of luminaire that merges.
Embodiments of the invention are considered the calculating of pattern CD and are more generally responded by each source point of computer simulation.
In one embodiment of the invention, the aerial image model can be used for calculating independent response.In the present embodiment, the simulation incident light is in the energy distribution on photoresist surface.At commercially available simulation softward, as Prolith TMDeng the auxiliary simulation of implementation space picture down.As previously mentioned, the described model that is used for this situation is known, and it is based on the vector form or the scalar form of Fourier optics.In the present embodiment, the characteristic of different elements in the lithographic equipment as numerical value aperture NA or specific pattern, can be transfused to as input parameter for simulation.
In the present embodiment, the image that calculates also can be estimated with respect to some standards, similarly is not have to print required figure in the photoresist of enough contrasts on wafer with process decision chart.Aerial image can be analyzed by focusing range, can be carried out repeatedly to reach best optical condition with estimation and this process that exposure latitude and DOF are provided.Especially, the quality of aerial image can be determined by using contrast or standardization aerial image log slope (NILS) module (dimension of picture standardization).This numerical value is consistent with the slope value of image intensity (or aerial image).
In the present embodiment, the response of each source point of research can be a kind of variable that provides the CD of target portion aerial image light intensity critical value, and it has and defocuses.In addition, in other embodiments of the invention, the response of this research can be to have the CD variable that constant intensity critical value (for example, providing the aerial image critical strength of the CD of target portion) defocuses.
In another embodiment of the present invention, the simulation of each source point response can be achieved by using full calculating against corrosion.In the method, the influence of picture receiver, i.e. photoresist, more particularly, because photoresist will be paid attention in calculating with the influence of the interaction generation of electromagnetic field.Full method simulation against corrosion also can be considered the step of photoresist baking and development of photoresist, and considers the non-zero diffusion of active object and the influence that limited dissolving contrast causes thus.
In this model, input parameter can comprise solubility parameter (R Min, R MaxMack4n and M ThIndentation model n, n Notch, and M Th), baking parameter (diffusion length, cooling medium concentration (Q), magnification constant [K Amp]) and optical parametric (Dill B).
With reference to Figure 24, one of explanation is used an exemplary embodiments of the lithographic equipment lighting condition optimization method of parfocal compensation.
Described method starts from limiting the step (C1) of lithographic issues.Described lithographic issues indicates to be printed on suprabasil specific pattern.This pattern is used for optimizing the parameter of lithographic equipment and the appropriate configuration of selecting illuminator, more preferably for being included in typical case's representative of advanced structure in the mask design.
Described method enters step (C2), calculates the profile of pattern at this selected analogy model.
Analogy model of the present invention can comprise an aerial image model.In this case, can calculate the incident light energy that is distributed on the photoresist distributes.The calculating of aerial image can be finished with the vector form or the scalar form of Fourier optics.Especially, this simulation can be at the available simulation softward of commerce, as Prolith TM, Solid-C TMDeng auxiliary under be achieved.
As previously mentioned, the crucial correlation parameter of running space picture simulation can comprise the focal plane distance of Gauss's image planes, promptly arrives the distance of optimum focusing face, and its centre wavelength by geometry ray optics element or quasi-monochromatic source is determined.These parameters can also comprise the measurement of the space segment degree of coherence of illuminator, the numerical aperture of the lens combination at the semiconductor-based end of irradiation, the aberration of optical system and the integral body description that the space transition function of optical mask is described.
In addition, in another embodiment of the present invention, analogy model can be based on full calculating against corrosion.In this case, simulation can be considered the heterogeneity by photoresist, non-tablet profile or the caused electro magnetic scattering of vector effect.Described resist model should preferably be considered the photoresist exposure, photoresist baking and development of photoresist.
Naturally, in the situation of using full calculating against corrosion, in luminaire, determine important parameter with the load operating simulation.These parameters also comprise dissolution rate and baking parameter except that series of parameters used in the aerial image simulation.
The selection that should be appreciated that analogy model in step (C2) never is limited to aerial image model or full computation model against corrosion.Other model also can be used for other embodiments of the invention as the model against corrosion of lumped parameter model or variable thresholding.In all these embodiment, chosen because model and experimental data are mated.
Should be appreciated that also the simulation utilization in the step (C2) also is not limited to the calculating of resist layer profile, can simulate and extract additional/complementary responses, as the processing tolerance, density feature deviation, sideband is printed and the mask error suseptibility.
Described method and then enter step (C3), the source point grid of expression light beam of light source discretize is defined in the pupil plane of luminaire.
More particularly, represent the illumination data of independent grid point separately, produce and load in the simulation softward.Comprise the light source point grid that light source point forms in the data, the space has covered the whole cross section of the 18 place's luminaires of illuminator pupil plane shown in Fig. 7-9.As previously discussed, should be appreciated that the source point grid can have differently qualification in other embodiments of the invention.For example, as the replacement of illumination data, the source point grid can be by parameter setting in simulation softward.
Still, use the method for the optimization lighting source of parfocal compensation to enter into step (C4), promptly calculate response separately according to each grid source point with reference to Figure 24.
More particularly, each independent source point is represented the analog result that or a series of utilization analogy model obtain.Potential response can comprise, for example, and the critical dimension of designed figure or in substrate, limit the necessary critical strength of the target CD of portion.
After the independent RESPONSE CALCULATION of indivedual source points, described method enters into step C5 and has the independent response variable that defocuses indivedual source points with estimation.
In one embodiment of the invention, can obtain The above results by calculating the given module that defocuses independent light source response of another group.The response that the response of described module and then the relatively place's of defocusing acquisition and optimum focusing place are calculated, and be that each source point produces a module response.In this case, described response is a critical dimension, will deduct the CD that the place of defocusing obtains in the CD that place of defocusing is not calculated, and vice versa.In addition, if the response of design is a critical strength, the critical value that the place of defocusing is never determined deducts the critical value of the place's of defocusing acquisition.In this case, can set CD variable or have the intensity threshold that defocuses.Values of defocus can be arranged within the focal depth range of seeking at first or outside.In one embodiment of the invention, values of defocus can be in the scope of 0.02 to 0.4 μ m.
For the optimization of floor light condition, the result of described module can be estimated as the response equal pitch contour figure of source point function of position by describing.
With reference to Figure 26 a and 26b, provide the exemplary embodiments of the equal pitch contour figure that obtains according to the inventive method.Figure 26 a-b is corresponding to the upper right portion of pupil plane 18 place's luminaires.As shown in these figures, the source point grid has the spacing of 0.1:0.1 with respect to the full aperture of luminaire.Since symmetry, the quadrant that only needs to estimate the luminaire upper right portion.
Lithographic issues is the 90nm hole (seeing Figure 26 c) of 360nm pitch-row.Figure 26 a represents the equal pitch contour figure by the module response of calculating acquisition against corrosion.In this case, described module calculating and comparison are in the pattern critical dimension { being CD (optimum focusing+0.2)-CD (optimum focusing) } of optimum focusing and the place's of defocusing acquisition.Figure 26 b is the example of equal pitch contour figure, and it can obtain by the aerial image simulation.In this embodiment, the critical strength { being threshold value (optimum focusing)-threshold value (optimum focusing+0.2) } that defocuses of the critical strength of described module comparison optimum focusing place and 0.2 μ m.In this embodiment, should be noted that the variable of the critical strength in the aerial image simulation is identical with the CD variable.
These equal pitch contours illustrate the zone of luminaire, and this zone is used and defocused increase or the minimizing that produces hole CD, and the size offset amount.The CD that regional relatively optimum focusing place of each of luminaire obtains provides has the CD change that defocuses.In Figure 26 a, calculate CD, and 1.0 intensity levels in the critical strength variable are represented free framework value among Figure 26 b with the millimicro meter level.
Referring now to the more details of Figure 26 a, the luminaire zone that produces the CD recruitment is concentrated in the lower left quarter { be CD (optimum focusing+0.2 μ m defocuses) for greater than CD (optimum focusing) } of quadrant.The CD increment of the about 25-50nm of they reflections.This is at Bossung curve map value correspondence " smile (smiling) " curve.By contrast, cause that the luminaire zone of CD minimizing is located in the centre of figure.In this case, the curve in the Bossung curve map will be seriously " frowning " and in focus outer closure (CD=0) hole.The zone of less curve of seriously " frowning " is the upper right corner part that is positioned at equal pitch contour figure in the Bossung curve map.
From the equal pitch contour figure shown in Figure 26 b, can obtain analog information.As shown in chart, the luminaire zone is positioned at the quadrant bottom left section, and the increment of CD is the most tangible in the described luminaire zone.On the contrary, the quadrant central representation produces the zone of critical strength and CD minimizing value in luminaire.
These equal pitch contours figure will be reduced to the equal pitch contour figure of expression in contrast to the parfocal situation in one embodiment of the invention, as shown in Figure 27 a-b.Figure 27 a represents that with Figure 26 a{ be CD (optimum focusing+0.2 μ m)-CD (optimum focusing) } the equal pitch contour figure of the module response that obtains.Figure 27 b represents that with Figure 26 b{ be threshold value (optimum focusing)-threshold value (optimum focusing+0.2 μ m) } the equal pitch contour figure that obtains.
In these figure, only show two zones.First zone is corresponding to the luminaire zone that produces the CD increment, and it is respectively by negative sign zone explanation during positive sign zone and aerial image calculate in the full model against corrosion.On the contrary, described second area is corresponding to producing the luminaire zone that CD reduces, and its zone by contrary sign shows bright.
Review Figure 24, use the method for parfocal compensation optimizing lighting condition to enter into step (C6), at the lighting condition of the basic adjusted luminaire of assay measures standard.
In one embodiment of the invention, realize the optimization of lighting condition by selecting class illumination, it closes zone that produces response (CD or critical strength) numerical value increment and the zone that produces response numerical value reduction.Especially, this means in Figure 27 a-b, and the positive sign zone is balanced with the negative sign zone, and vice versa.
The equilibrium in zone described in the present invention can be by selecting some source points to realize in positive sign zone and negative sign zone, as shown in figure 28.In Figure 28, circle AC is depicted in just equidistant area of curvature of 0.25 σ combination and the negative equidistant area of curvature in the axis illumination.Especially, the selection of these source points should consider to be used to print the source point performance of the CD of target portion.In other words, in an embodiment of the present invention, weight is attributable to each source point.In the situation of aerial image simulation, the weight of source point will depend on the intensity of the aerial image of source point acquisition.In the situation of full calculating against corrosion, the weight of source point with print the target CD of portion (for example CD size) required dosage in optimum focusing place and be inversely proportional to.As a result, if the required dosage of this source point is low, so source point can be in the contrary sign zone potentially equilibrium phase to big area.Naturally, if source point has substantially the same weight, realize the equilibrium in zone by in positive sign zone and negative sign zone, selecting the source point of equal amount.In Figure 28, this causes selecting the result of 0.25-σ light fixture (that is, qualification is corresponding to the distribution in the aperture of arc shown in Figure 28).Like this, proposed on designed de-focus region, basically the enforcement lithography process of parfocal.At σ=0.25 place, photoetching treatment approximately is a parfocal, and depth of focus (DOF) is good but dosage width capacity content is lower.
Figure 29 shows according to the CD variable result with 0.25-σ Illumination Distribution.This chart exposes corresponding to matrix, illustrates for several exposures, as the variable of the 90nm hole critical dimension of focus function.As shown in chart, regardless of exposure energy, CD does not almost have variable on designed focusing range.
Should be appreciated that and when selecting the optimal illumination condition, also can consider the response that adds.Among the embodiment in the present invention, these responses can comprise exposure latitude EL, and the dosage size is than E1:1, the depth of focus at 8%EL place (DOF@8%EL), density feature deviation or MEEF.
These responses can have additional information, and these information can be used as relevant information in the luminaire best distribution is determined.The calculating of described response can be based on same principle illustrated among the present invention.That is to say, can calculate each response of indivedual source points, wherein the one or a series of analog results of each response expression utilization analogy model.Naturally, for the simulation of each response in the described response, can be moved by aerial image model or full calculating against corrosion.
Figure 30 a-b has illustrated the equal pitch contour figure that comprises full calculating against corrosion.In first chart, the response of being analyzed is the maximum exposure tolerance.In second chart, analyzed the dosage size and responded than E1:1.As shown in chart, the zones of different of luminaire has provided different numerical value for these responses and a kind of optimization of lighting condition is provided.For example, the luminaire zone of enhancing exposure latitude is positioned at the quadrant upper right portion.These zones that limit the outer illumination of level Four oblique crank Zs comprise the utmost point (this illumination is called the irradiation of " quasar " type) of horizontal axis setting+/-45 ° with respect to luminaire.Similarly, produce the upper right portion (strong aerial image) that the zone of suitably hanging down E1:1 also is set at equal pitch contour figure.On the contrary, the zone (corresponding to low σ coaxial illumination) that is positioned near the lower left corner part of figure provides relatively poor EL and needs higher dosage printing (weak aerial image).
Therefore, the optimal illumination condition in desired printing 360nm pitch-row 90nm hole is provided by the light fixture shown in Figure 31.The figure shows the profile of projecting beam in the pupil plane of luminaire.Along the X-axis line of Figure 31 and the σ scope of Y-axis line is from-1 to+1.This distribution comprises combination coaxial irradiation and the outer quasar irradiation of axle.Particularly, this distribution comprises 0.1 coaxial σ irradiation and with respect to the level Four outer shaft utmost point (this illumination is called the irradiation of " quasar " type) of horizontal axis setting+/-45 of luminaire °.These have 5 ° of angular apertures, 0.88 internal diameter and 0.92 external diameter (this illumination is called " σ (0.1CONV)+(0.92/0.88Q5 °) " irradiation)., in Figure 32 and 33, provide respectively by the analog result that Illumination Distribution obtained shown in Figure 11 according to depth of focus and exposure latitude.As shown in these figures, CD and exposure latitude almost do not have variable through after defocusing.
Figure 34 relatively has the exposure latitude variable that defocuses by a kind of optimization method, wherein said method has (1) criterion calculation (full calculating situation against corrosion), (the level Four illumination has the utmost point all round with respect to horizontal axis setting+/-45 ° with axle outside with depth of focus maximization at fixed dosage tolerance place for it, respectively have 30 ° of angular apertures, 0.7 internal diameter and 0.95 external diameter---0.95/0.70Q30 °), (2) parfocal based on the design of simple illumination device compensates (having 0.25 σ coaxial-illuminating), or (3) (this luminaire throws light in conjunction with 0.1 coaxial σ irradiation and level Four outer shaft based on the compensation of the parfocal of composite luminaire and maximum dose tolerance, have four utmost points of horizontal axis setting+/-45 °, respectively have 5 ° of angular apertures with respect to luminaire, 0.88 internal diameter and 0.92 external diameter---0.92/0.88Q5 °+0.1conv).The result according to maximum exposure tolerance (maximum EL), maximum depth of focus (maximum DOF), the depth of focus (DOF@10%EL) of 10% exposure latitude, at the depth of focus (DOF@5%EL) of 5% exposure latitude shown in the following table a:
Optimization method Irradiation Maximum EL Maximum DOF DOF@10%EL DOF@5%EL
Standard 0.95/0.70Q30° 18% 0.3 0.18 0.24
Simplify the parfocal compensation 0.25conv 8% >0.55 0 0.29
High EL value parfocal compensation (0.92/0.88Q5°+0.1conv 16% >0.65 0.57 0.63
Table a
The figure shows parfocal compensation mainly having increased DOF.This figure also shows by in conjunction with appropriate illumination device parts, also can significantly improve exposure latitude simultaneously keeping high DOF.Therefore, can obtain the huge improvement of process window by suitable utilization luminaire, and burnt curvature such as compensation.It should be noted that by analysis and comprise that the aerial image calculated value of optimum focusing place NILS information can obtain same enhancing.Because NILS and exposure latitude are proportional, such analysis also will cause axle to be gone up and from the combination of axle irradiation, to provide the parfocal performance with high dose tolerance.
Use similar approach to optimize lighting condition for other lithographic issues.The inspection situation draws a conclusion to the inspection that must use the pitch-row of contact hole on a large scale that the attenuating phase-shift mask prints simultaneously, i.e. quasar illumination (for intensive pitch-row) and little SIGMA type (for the sparse pitch-row) combination of throwing light on has provided optimum.In this case, designed photoengraving pattern is corresponding to the 75nm hole of the 400nm pitch-row of the light source irradiation of 193nm in 1.1 numerical apertures, and the more explication de texte of " sparse pitch-row " provides new information.Figure 35 a-b shows respectively that (maximum-EL), (maximum-DOF), depth of focus at 8% exposure latitude place (DOF@8%EL) and parfocal curve obtain analog result to depth of focus to exposure latitude.
Obtain junior three response with full calculating against corrosion and illustrated just as expected, little SIGMA shape illumination is best for sparse pitch-row.By contrast, the parfocal curve simulation shows replenishing that the group celestial body of the edge of pupil distributes, and the parfocal compensation is augmented in the lithography performance.Therefore conclude, optimal illumination distribute with quasar shape add little σ shape illumination extend to print intensive pitch-row normal required beyond.
The depth of focus that the analog result of all kinds of illumination profile of calculating with the application's method is located to measure according to 8% exposure latitude (DOF@8%EL) is shown among Figure 36 a.The figure shows out variable as the DOF@8%EL of pitch-row function.For reference, Figure 36 b and 36c have illustrated that the pupil plane place of luminaire has the light source form of two types of distributions (with regard to NA and quasar illumination).
As shown in this chart, regardless of pitch-row, it is stable that DOF@8%EL keeps.More importantly, this figure has illustrated that the small variable of quasar illumination can cause the remarkable increase of depth of focus.In this case, the parfocal compensation has illustrated the illumination of 0.97 quasar light ratio, 0.90 quasar and will get well, particularly for sparse pitch-row.Variable simulation as the DOF@8%EL of pitch-row function clearly confirms this result.Remarkable increase among the DOF that can not calculate with the standard meter algorithm has illustrated that clearly it is very favorable that the parfocal compensating gage is got it right in optimizing lithography process.Along the X-axis of Figure 36 b-c and the σ scope of Y-axis line is from-1 to+1.The distribute coaxial illumination comprise 0.4 σ and level Four irradiation of the irradiation that Figure 36 b illustrates 0.90/0.40+0.4 σ comprises the utmost point of axle all round of horizontal axis setting+/-45 ° with respect to luminaire.Each outer shaft has 0.4 internal diameter and 0.9 external diameter.The distribute coaxial illumination comprise 0.4 σ and level Four irradiation of the irradiation that Figure 36 c illustrates 0.97/0.40+0.4 σ comprises the utmost point of axle all round of horizontal axis setting+/-45 ° with respect to luminaire.Each outer shaft has 0.4 internal diameter and 0.97 external diameter.
With reference to Figure 37, a kind of optimization method of the lithographic apparatus lighting condition according to the embodiment of the invention is described.In this embodiment of the present invention, aberrations of lens influence is illustrated in the optimization of lighting condition.More particularly, calculate aberration sensitiveness as the lighting condition of source point function of position, and this information and then be used to select the optimal illumination condition.
In this embodiment of the present invention, realize by a kind of method that for the optimization of chromatic aberrations susceptibility light source this method comprises: determine to be printed on suprabasil photoengraving pattern; Select analogy model; Select the source point grid of the pupil plane of luminaire, limit at least one aberration group for optical projection system; Calculate the independent response of indivedual source points and at least one aberration group, the analog result of each one of response expression or a series of used models; And in the independent analysis foundation adjusted Illumination Distribution of calculated value accumulated result.
The CD variable is mainly caused by lens aberration in the photoetching.Therefore no matter use which kind of light source in the manufacturing process, the manufacturing with 150nm or littler critical dimension device all require in the manufacturing process employed near the lens of diffraction limited do not have aberration basically.As known in the industry, aberration is caused that by different reasons as the lens of defectiveness or aging laser instrument, light beam that such laser instrument is launched and ideal value have a frequency shifting.Correspondingly, the performance (lens are met the requirements) of verification lens before installing, and in use subsequently (for example in IC manufacturing process) fully to monitor lens performance be necessary.
In lens manufacturing process, lens performance is tested fully by interferometry.General, lens are at first qualified in factory's checking, and then just verifying once more in the process of assembling.A kind of lens qualification conventional method of common utilization is to print wafer and subsequently to the measurement of minimum figure width dimensions or critical dimension (CD).In this checking qualification operation, the feature of (that is the both direction of quadrature on the substrate plate) all will be measured on " vertically " and " level " direction.In some instances, also measure the CD of 45 degree features.For the verification lens performance, whole exposure area needs enough CD and measures number of times.Analyze the result of CD measurement then and determine whether lens performance can be accepted.
Figure 38 a shows an example of the CD variable that is produced by lens aberration.The simulation CD variable (unit arbitrarily) of double structure has been described this figure and more particularly, left line and right line (this double structure) there are differences on width, and described difference is the function of lens aberration.Because having good susceptibility to lens aberration, this structure therefore it is selected into this experiment.Only for reference, described double structure has been shown in Figure 38 b.As shown in this Fig, this structure comprises two lines (being denoted as " L " at Figure 38 b) based on the 50nm width.The spacing of described line is 230nm and repeats to be provided with two such lines at bigger spacing place.Transparent 180 ° of phase shift window PSW also are arranged between described two lines (referring to Figure 38 b).
In this experiment, the simulation of CD variable is realized by three the similar lens (TI180/230/800) in the lithographic apparatus with 0.75NA numerical aperture and 0.25 σ lighting condition and 157nm radiant light.For each lens, infer aberration group RMS (root mean square) value with known routine measurement technology (for example interferometry), this aberration group is corresponding to the aberration value of some points in the lens area.Corresponding to each RMS value of the aberration of specified point place measurement in the described zone, the quadratic sum of expression Zelnick polynomial (Zelnick) coefficient, it is used for the wave front aberration at simulated lens particular point place.More particularly, the wavefront deviation value of described RMS value representation sphere (sphericity) transmission ripple.Should be noted that in this experiment and present embodiment of method described in Figure 37 this aberration should be considered to preceding deviation of all-wave rather than particular aberration.In other words, each RMS aberration value can be represented different aberration-types (as Seidel aberration, it comprises comatic aberration, astigmatism, field domain curvature, distortion or ball-shaped aberration).
Just as shown in these figures, double structure is very sensitive to aberration.For example, the small aberration of one 10 milli ripple can produce a left side/right cross line CD variable in about 10% zone.
Figure 39 a and 39b show the equal pitch contour figure of DOF@8%EL and according to the response of the parfocal curve simulation of double structure.Use and realize simulation under full calculating against corrosion and the described in front same lighting condition (0.75NA and 157nm).As shown in Figure 39 a, the equal pitch contour of DOF@8%EL illustrates a less part in the middle of the luminaire, and it has high depth of focus.By contrast, the illumination that the parfocal curve map illustrates from the luminaire edge can increase process window.Therefore less σ shape and wide quasar illumination combination, it is desired greatly improving lithography process.
For the selection (for example, referring to Figure 41, little σ and width variety celestial body throw light on) of verifying Illumination Distribution, implementation of class is like an experiment described in Figure 38 a.Figure 41 illustrates the luminaire that is used for double structure and compares.Along the X-axis of Figure 41 (a)-(c) and the σ scope of Y-axis line is from-1 to+1.Among Figure 41, luminaire (a) is corresponding to the coaxial illumination of 0.25 σ, luminaire (b) is corresponding to the coaxial illumination of 0.15 σ, and luminaire (c) the outer shaft irradiation that the tiny outer shaft utmost point is set corresponding to the coaxial illumination of 0.25 σ and level and vertical axis at luminaire combine distribution (this irradiation can be described as " irradiation of Cquad type ").Figure 40 shows described result of experiment.As shown in this Fig, it has illustrated that this optional setting has the CD variable maintenance good confinement of the illumination of high responsive aberration and σ=0.25 to double structure.These results clearly illustrate that and consider that in optimizing illumination lens aberration is necessary.
Review Figure 37, by reduce aberration sensitiveness optimize the method for lighting condition start from step (D1) and with Figure 13 for example with shown in 24, with the embodiment of the invention in identical mode enter into step (D3).Described method and then enter step (D4), at least one aberration group of optical projection system is defined.As illustrated before, this aberration group comprises the aberration corresponding to diverse location in the zone.In one embodiment of the invention, differ group and comprise 13 independent points., should be appreciated that and depend on required degree of accuracy that this aberration group can comprise more or less point.Should be noted that aspect this fabricator describes lens in detail according to 13 points usually.In addition, should be appreciated that the several aberration groups that in step (D4), to determine corresponding to several similar optical projection systems or lens.Like that, the skew of simulated lens aberration and in lighting condition optimization the explanation this skew be possible.More importantly, the distribution (with consequent aberration) of, calculating in the factory (or from factory) lithographic equipment according to the embodiment of the invention also is possible, and may therefore produce more perfect photoetching method.
As preceding explanation, each point in the aberration group all has a corresponding RMS value.The quadratic sum of this numeric representation Zelnick multinomial coefficient, described Zelnick polynomial expression is used for the wave front aberration of simulated domain specified point.
Although the aberration group in the step (D4) should be appreciated that also that corresponding to the aberration that one or several lens produce described aberration group can differently be limited.In one embodiment of the invention, for example, limited aberration group is estimated the susceptibility of special aberration at lighting condition.In this case, the described group that differs comprises typical aberration value.In another embodiment, the aberration group can comprise influential/important aberration, the accurate lithography process of its appreciable impact (for example, the photoengraving pattern that print or lighting condition)
Described method and then enter step (D5) is calculated response separately according to each RMS in independent light source point in the grid and the aberration group.Use full model against corrosion or aerial image model or other above-mentioned model to realize described calculating.Especially, these simulations can be with any commercial available simulation softward, as Prolith TM, Solid-C TMDeng being moved.In step (D5), the CD of photoengraving pattern is calculated according to independent point/aberration in each source point and the field domain lens (or projection lens).Like this, calculate one group of CD of each light source point, the corresponding aberration of each CD value.Subsequently, the CD of the pattern that calculates (obtaining by several points in the field domain lens) compares with estimation whole C D scope each other or alternatively, estimates the maximum CD variable relevant with the CD of target portion with respect to each source point.In this case, additional aberrations group (be equivalent to discussed in other lens or the leading portion the aberration by special influence) is defined in step (D4), in definite aberration sensitiveness and selection optimal illumination condition, can consider maximum magnitude or maximum CD variable in arbitrary lens.
Should be appreciated that in order to find out the optimal illumination condition, determine to select a kind of method of weight aberration by user of the present invention.Therefore other method of weight aberration sensitiveness and selection optimal illumination condition can be used in other embodiments of the invention.For example, in one embodiment of the invention, the mean value that can calculate the CD variable is used to estimate aberration sensitiveness.
For the optimization of floor light condition, described result calculated and then can be estimated as the equal pitch contour figure of the response of source point function of position by describing.
With reference to Figure 42, provide a exemplary embodiments according to the equal pitch contour figure of the acquisition of the embodiment of the invention shown in Figure 37.Figure 42 is corresponding to pupil plane 18 place's luminaire parts.This contour graphical representation is by as the caused CD variable of the aberration of source point function of position.For each source point, described the highest CD variable.In the embodiment of the invention shown in Figure 42, the calculated value of making at three similar lens and each source point drawn out the highest CD variable in lens arbitrarily.
As shown in Figure 42, the zone of the luminaire of minimum aberrations susceptibility is positioned at the luminaire lower left., figure also shows little σ illumination because aberration will strengthen the CD variable.Therefore expectation, the σ illumination (in order to reduce aberration sensitiveness) of optimal illumination equipment combination one at least 0.25 and CQuad illumination (in order to increase depth of focus).In this particular experiment, the increment of little σ illumination can reduce up hill and dale the aberration sensitiveness of lighting condition is kept firm process window.
This method is applicable to selecting the optimal illumination condition for the identical photoengraving pattern of printing by Chrome-free phase place photoetching (CPL) mask (for example double structure).
With reference to Figure 43, the figure shows variable as the DOF@8%EL of source point function of position.For example, obtain above-mentioned equal pitch contour figure by the embodiment of the invention illustrated in Figure 13 and 24, this irradiation optimization is finished with 0.75 numerical aperture.As shown in FIG., provide the light fixture of tight quasar illumination significantly to increase the depth of focus in the lithography process.Particularly, this optimization irradiation distribute (0.85/0.50Q30 °) comprise the irradiation of four utmost point outer shafts, comprise the outer shaft utmost point of horizontal axis setting+/-45 ° with respect to luminaire.Each outer shaft has and respectively has 30 ° of angular apertures, 0.55 internal diameter and 0.8 external diameter.
According to the CD variable that is caused by aberration (aberration sensitiveness), the result who obtains by the embodiment of the invention shown in Figure 37 is illustrated in Figure 44 once more.Described equal pitch contour figure has illustrated quasar distribution suitably minimizing CD variable that is caused by aberration., Figure 44 also illustrates the high aberration sensitiveness (6-8nm variable) with respect to the horizontal axis of the luminaire utmost point just in time+/-45 °.At+source point susceptibility outside/-45 ° descend (0.2nm).Reducing of aberration sensitiveness can be by enlarging the utmost point to desalinate disadvantageous coaxial susceptibility., estimate reducing of process window.The figure of equivalent curve described in Figure 44 advises that also the quasar illumination that has than (0.85/0.50Q45 °) (being denoted as " (a) " in Figure 44) that the at first selected utmost point also will be big among Figure 43 (0.80/0.50Q30 °) (being denoted as " (b) " in Figure 44) can provide better result (is zero big scope because it comprises a CD variable).
This supposition is proved in Figure 45, the figure shows the CD variable as the double structure of wave front aberration (RMS) function that is used for different irradiation distributions.This figure has clearly illustrated by using 45 ° of Illumination Distribution of 0.85/0.50 quasar significantly to reduce aberration sensitiveness.For this lighting structure, even use the lens aberration that reaches 60 milli ripples still can obtain to be lower than the CD variable of 5nm.
Figure 46 has illustrated the variable of exposure latitude, and (be used for luminaire (a) is 0.80/0.50Q30 ° and the coaxial illumination (Figure 45 is denoted as " (c) ") that is used for 0.25 σ for 0.85/0.50Q45 ° and luminaire (b) to its depth of focus function as used Illumination Distribution among Figure 44.In each Figure 46 (a)-(c) irradiation distributes, be from-1 to+1 along the σ scope of X-axis and Y-axis line.0.25 be used in combination with alternating phase-shift mask (alt-PSM) in the coaxial illumination of σ (c).Irradiation (a) and (b) be to be used in combination with Chrome-free phase place photoetching (CPL) mask.As shown in FIG., having greatly, the Illumination Distribution of the utmost point (45 ° in 0.85/0.50 quasar) still can provide suitable process window.This distribution has provided a good half-way house, because this equipment has suitably reduced the CD variable that caused by aberration, and still keeps a good process window.In addition, the CPL mask is improved process window.
With reference to Figure 47, that explanation is a kind of according to the method that is used to optimize illumination projection arrangement lighting condition of the present invention.In this embodiment of the present invention, in order to mate the result who is usually obtained by mask supplemental characteristic technology, and it is against corrosion to carry out the optimization of lighting condition.In one embodiment of the invention, provide a kind of method that is used to optimize light fixture, wherein this equipment has and the similar result of result who obtains by the contiguous error of complex light (OPC).According to embodiments of the invention shown in Figure 47, low DOF feature can be by being improved in conjunction with the complete zones of different that does not have complexity and expensive mask supplemental characteristic technology luminaire against corrosion significantly.
Used mask supplemental characteristic or graticule enhancement techniques (RET) to improve the manufacturing of fidelity and integrated circuit small-medium size member widely.They generally include optical approximate error (OPC) and phase-shift mask (PSM).
OPC relates to the change that layout correction is come complementary characteristics shape and size, and described change appears in the transmission course of pattern from the graticule to the wafer.When pattern when graticule passes to wafer, several effects are incorporated into distortion in the pattern.These distortions comprise the live width variable that depends on pattern density, and corner cut full circle and line are terminal to be shortened.Change in pattern can produce incorrect connection or make device to be lower than the optimum velocity operation.The reason of distortion comprises diffusion and the load effect in graticule pattern out of true, optical approximate effect, diffraction and interference and against corrosion and the etching and processing.
OPC makes the IC pattern have little change, and described change is used for above-mentioned distortion is predicted and proofreaied and correct.For example, the terminal shortening of line can be proofreaied and correct by using the hammerhead shape shape to prolong described line, causes the similar original expected design of line in the resist.The corner cut full circle is proofreaied and correct by adding (or deleting) corner cut transversal shape.
Interference effect in the relevant or partial coherence picture system of phase-shift mask utilization reduces the spatial frequency of given object, or strengthens its contrast on border, or above both.Can interfere phase eliminating coherence or phase long coherence in the local control of the critical localisation of pattern by on mask, increasing the additional pattern layer of transferring material.This technology causes more high resolving power, the combination of the scope that more exposes completely and bigger depth of focus.In the phase transition photoetching, on transparent region, arrange clear coat.The light wave that passes through described overlay area is than 180 ° of the phase of light wave interests for delinquency of passing through no overlay area.Separatrix more clearly will be eliminated from the light wave in phase shift zone and blank (clear) zone in edge in the phase shift zone.
The expansion of phase shift window and the optical approximate of more complex figures proofreaied and correct cause the complicacy of mask design significantly to improve.Accurately determining to carry out which kind of correction (with OPC or phase shift window) is a very complicated process, and it depends on adjacent geometric figure and procedure parameter.For example, when on intensive figure phase shift window being set, phase conflicts may appear.In addition, can a lot of figures be set in the figure of single IC for both, the load that is used to the data processing resource that repeats to operate on a large amount of like this figures is very huge, and it is unactual to repeat running in some cases.
In one embodiment of the invention, can obtain the optimization of light source by a kind of method, described method comprises: qualification will be printed on suprabasil photoengraving pattern; What limit the mask supplemental characteristic is configured to help printing lithographic pattern in substrate; Select analogy model; Select the source point grid of luminaire pupil plane; Calculating has and does not have the independent response of indivedual source points of mask supplemental characteristic, the analog result of each one of response expression or a series of used models; And in the independent analysis foundation adjusted Illumination Distribution of calculated value accumulated result.
Review Figure 47, owing to optimize the step (E1) that the method for lighting condition starts from determining lithographic issues.Described method enters step (E2) subsequently, determines the mask supplemental characteristic and is added in the simulator.These mask supplemental characteristics help printing lithographic pattern in substrate, can comprise the optical approximate correction in an embodiment of the present invention.In other embodiments of the invention, they also can comprise phase shift window.Figure 48 shows an example of mask supplemental characteristic.In this figure, the mask supplemental characteristic is corresponding to the anti-scattering strip (ASB) of the 50nm that is arranged on 75nm raceway groove both sides.
Subsequently, described method enters into step (E4) from step (E3).In these two steps, select to realize the model of simulation and in the pupil plane of luminaire, select the source point grid.
Then, described method enters step (E5), calculates the independent response that is provided with the mask supplemental characteristic and does not have the independent light source point of mask supplemental characteristic, the analog result of the single or a series of use analogy models of each response expression.Response can comprise depth of focus (DOF), exposure range (EL), and the depth of focus that 8% exposure range (DOF) is located, the dosage size compares E 1:1' density feature deviation, arbitrary characteristics dimensional discrepancy, sideband (sidelobe) printing, film loss, side wall angle, mask error amplification coefficient (MEEF), linear sharpness, or absolute resolution.
Described method ends at step (E6), selects the Illumination Distribution of luminaire on the analysis foundation of the independent accumulated result of calculated value.In particular, by relatively having mask supplemental characteristic response that calculates and the response that does not have the mask supplemental characteristic to calculate, realize the optimization of lighting condition in embodiments of the present invention.And then, select luminaire to distribute by determining the luminaire zone, described zone has provided the good equally or similar substantially response of the optimal response that calculates to the mask supplemental characteristic.This has guaranteed that resulting luminaire distributes, and this distribution is used to expose does not have the pattern of mask supplemental characteristic, and it will provide and the suitable at least result of result who obtains with the mask supplemental characteristic.In the method, can produce some benefits that for example obtain through the differentiation plate OPC that uses " supplemental characteristic " at the luminaire surface level usually, for example can obtain by the graticule OPC that uses " supplemental characteristic against corrosion " at the luminaire equal-height position usually.Therefore, can reduce dramatically the demand of costliness with the graticule of complexity.
Should be appreciated that according to embodiment among Figure 47, comprise that the optimization of lighting condition of the mask supplemental characteristic of some specific effect also can be implemented.In this case, the optimization of Illumination Distribution realizes by the analog result that relatively has the mask supplemental characteristic and do not have the mask supplemental characteristic to obtain.
Should be appreciated that also the optimization that realizes lighting condition is to form the method for most cost effective.In particular, should keep it in mind mask or light source " enhancing " and bear the task of producing a suitable manufacturing output.Therefore, can attempt using relative simple analog with complicated mask, or selectable complicated Illumination Distribution with simple mask.In certain situation, these two kinds of conditions can be in conjunction with (for example at the very processing of difficulty).In order to obtain the method for most cost effective, the invention provides a kind of advantageous methods that helps to determine following method.
This method of successful implementation has been with selected Illumination Distribution, this distribution have with Figure 48 in have the identical result of distribution of anti-diffusion zone.Figure 48 is illustrated in the pattern that 6% attenuating phase-shift mask (6%att-PSM) is gone up the 75nm raceway groove that distributes.This pattern is included in the anti-scattered band of 50nm of each side of raceway groove.Spacing between (each side of raceway groove) anti-scattered band is 150nm.As mentioned above, the optimization of lighting condition at first realizes by selecting to use the optimum illumination of utilizing response to distribute, wherein calculates described response by the mask supplemental characteristic.Determine the selection of optimal illumination equipment by maximum DOF and maximum EL response.As a reference, Figure 49 a and 49b show the variable as these two responses of source point function of position.In this experiment, employed graticule is the phase-shift mask of 6% decay, and radiation beam has the 193nm wavelength and finishes exposure with 0.93 numerical aperture.
As shown in Figure 49 a and 49b, the CQuad of the non-constant width of needs and quasar distribute and obtain the optimal illumination condition.Figure 50 shows the result according to these type Illumination Distribution of exposure latitude.This pattern (is that the 75nm raceway groove has every side 50nm side band (1SB/ side) with the identical of Figure 48.The figure shows all lengths and size (described length is represented with radian), as the variable of the EL of depth of focus function for the CQuad utmost point and various anti-diffusion zone spacings (from 150nm to 180nm, changing).The figure shows because ASB is arranged on the 160nm pitch-row and 22.5 ° of CQuad utmost point exposures, distributes and best lithography process and obtain optimum illumination.In this experiment, the raceway groove of corresponding 85nm and 95nm size has 10nm and 20nm overgauge to be applied on the mask respectively.
Obtaining optimal illumination and the postpone of ASB cloth, the optimization according to the lighting condition of the embodiment of the invention has been shown among Figure 47, it does not have the same response of mask supplemental characteristic to draw by calculating.Calculating is to carry out with 6% attenuating phase-shift mask (6%att-PSM).The general coaxial utmost point of tiny σ that requires to be used for the dosage tolerance of 6% attenuating phase-shift mask.The calculating of the independent response of indivedual source points and equal pitch contour figure determine, for example, can be achieved by the embodiment of the invention illustrated in Figure 13 and 18.As shown in these figures, DOF response prompting CQuad illumination can obtain good process window ideally.By contrast, the EL response has illustrated lithographic issues hereto, and little SIGMA shape illumination is best.Therefore the irradiation of little SIGMA (σ) shape is made up in expectation and wide CQuad illumination constitutes best Illumination Distribution, thereby obtains a big process window.
Under specific circumstances, the selection of utmost point size and σ illumination size in the CQuad illumination is determined by parfocal compensation analysis according to the embodiment of the invention shown in Figure 24.Just, the size of selecting CQuad and σ orders makes resulting lithography process by providing of parfocal substantially.Like this, the CD variable in the process window sharply reduces, and can obtain the basic parfocal processing of predetermined focusing range and CD, and CD keeps the focusing range (DOF) of acceptable value to increase.
The compensation of use parfocal is analyzed, and by selecting 35 ° of CQuad illuminations of 0.1 σ illumination, it is against corrosion to calculate the result that can mate at least with the acquisition of mask supplemental characteristic.Shown in Figure 52, have the raceway groove CD variable of this Illumination Distribution, in predetermined focusing range, be metastable.As reference, the cross section (being denoted as " (a) ") that the pupil plane place of luminaire shown in this figure gets beam intensity.
Should be noted that in some cases, reduce and come from childhood that the illumination intensity of the utmost point is desired in order to optimize lighting condition.For example, it is possible not adopting unpractical little utmost point size and obtain required " parfocal balance ".This can increase its size by the minimizing pole strength and obtain.
With reference to Figure 53, optimal light carving method and other method that this chart is definite according to mask supplemental characteristic (pattern identical as Figure 48), show the variable as the exposure range of depth of focus function, wherein said optional method does not use feature against corrosion but has been developed and is used to provide good result (identical patterns that does not have supplemental characteristic as Figure 48).As shown in FIG., the photoetching method of having developed that does not have the mask supplemental characteristic provides better result than the method for having developed with mask supplemental characteristic.This result represents, according to the inventive method shown in Figure 13 and 18, selects an appropriate illumination to arrange that the positive effect that the repetitive mask supplemental characteristic is obtained is possible by for example.Therefore conclude,, can develop a kind of photoetching method that does not use the mask supplemental characteristic can provide good result rapidly by selecting an appropriate illumination device to arrange according to the embodiment of the invention shown in Figure 47.The embodiment of the invention shown in planting according to Figure 47 is used " feature against corrosion " and is printed the complexity of inferior 150nm pattern and the demand of expensive graticule is excluded in luminaire.
Should be noted that the depth of focus of pattern deviation and increase photoetching method is possible on the minimizing mask by using the method for " simple mask/complicated luminaire " among Figure 47.And then, because supplemental characteristic is not set, there is not their danger of opening by high exposure energy on mask.Therefore might use high dose to improve process window with low deviation.For this principle is described, with reference to Figure 54.This figure has illustrated the variation of various deviations as the exposure range of depth of focus function.Calculating is to carry out with 75nm raceway groove, 6% attenuating phase-shift mask, 193nm wavelength and with 0.93 numerical aperture.Figure 48 also illustrates the pattern that does not have supplemental characteristic (being denoted as " (a) ") and irradiation distribution (being denoted as " (b) ").As shown in FIG., strengthen have-depth of focus of 5nm deviation (corresponding to 70nm raceway groove on the mask) is possible.
Referring now to Figure 55, the optimization method according to the lithographic apparatus lighting condition of the embodiment of the invention has been described.In this embodiment of the present invention, use best CDU (critical dimension homogeneity) module to realize illumination optimization.More particularly, the critical dimension homogeneity of photoengraving pattern is calculated according to the source point function of position, simultaneously this information and then be used to select the optimal illumination condition.
In this embodiment of the present invention, use the light source optimization of best CDU module to realize that by a kind of method described method comprises: qualification will be printed on suprabasil photoengraving pattern; Select analogy model; Select the source point grid of the pupil plane of luminaire, limit a plurality of parameters and variable range thereof; Calculate the independent response of indivedual source points and each parameter, the analog result of each one of response expression or a series of used models; Calculate the CD variable of photoengraving pattern is set in an expression according to a lot of parameters and indivedual source point module; And in the analysis foundation adjusted Illumination Distribution of module.In this embodiment of the present invention, realize the critical dimension homogeneity (CDU) of the optimization of lighting condition with the maximization photoengraving pattern.This can be achieved by the distributor that comprises some important CD variablees when selecting the optimal illumination device to arrange in an embodiment of the present invention.
Being used to monitor the size of described pattern and guaranteeing its critical dimension (CDs) within client's technical requirement, is particular importance for making size keep in the substrate process.CD homogeneity (CDU) reference when actual numerical value is complementary on designed numerical value and the substrate, or is positioned at the CD of a plurality of similar features of identical semiconductor equipment when identical.CDU is paid attention to as direct indicator when whether definite equipment works in technical requirement by the process engineer usually.
, pattern fidelity significantly worsens in sub-wavelength lithography, and the consequent semiconductor component pattern that may depart from the circuit designer significantly on size and dimension and drawn.Supposing can obviously influence turnout and properties of product from the deviation of target CD, then is desirably in to consider CDU when selecting the optimal illumination condition.
One of numerous approach that should be noted that the best CD homogeneity of definite simulation are to use monte carlo method.In the method, all variablees are changed simultaneously according to the numerical value of selecting at random in supposition (or known) numeric distribution.The method according to this invention might obtain and the similar result of result who obtains with Monte Carlo calculations.
Review Figure 55, use the lighting condition optimization method of CDU module to start from step (F1) and enter into step (F3).Just, in step (F1), determine lithographic issues, in step (F2), select analogy model and in step (F3) in source point grid of luminaire pupil plane place generation.Subsequently, described method enters step (F4), is determined and adds in the simulator in these a plurality of parameters and their correlated variables scope.
In the embodiment of the invention shown in Figure 55, calculate at least two separate responses., in order to determine good action pane, calculate response as much as possible for each source point expectation.In one embodiment of the invention, a large amount of parameters can comprise focusing range (μ m), dosage range (%), lens aberration (RMS in the milli ripple), system's flicker level (%), pattern density difference (%) and mask CD scope (nm).Described flicker level is corresponding to the light beam of the pattern-free form that arrives substrate, and it causes the loss of contrast usually in final graphics.Should be appreciated that this potential parameter list is not detailed and can comprises additional parameter in calculating.
Described method according to the embodiment of the invention shown in Figure 55 enters step (F5) subsequently, responds separately according to each source point and each calculation of parameter at this.In Figure 55, the response of being calculated is the critical dimension (CD) of the photoengraving pattern determined in the step (F1).More particularly, calculate the CD variable according to each outer source point of the preset range of determining in the step (F4).In the embodiment of the invention shown in Figure 55, each parameter, for example each CD variables is by independent processing.
At definite a plurality of CD variablees (parameter value is obtained each variable outside their preset ranges by changing) afterwards, described method enters the step (F6) of computation measure.Described tolerance has been determined whole CD variablees of being caused by whole parameters.More particularly, the quadratic sum of described metric calculation parameter and determine average CD variable according to each source point.Described method and then enter step (F7) is adjusted lighting arrangements at this analysis foundation based on module.
Should be appreciated that the method for determining a kind of weight CD variable by the user of the inventive method.Therefore, the CD variable that should be appreciated that each source point can be determined by difference in other embodiments.For example, in one embodiment of the invention,, the parameter of CD variable draws the highest CD variable by can being described for designed source point.
According to the optimization method of the embodiment of the invention shown in Figure 55, be successfully used to select optimal illumination to arrange according to the lithographic issues shown in Figure 56.Described problem is corresponding to the pattern by the printing of CPL mask of 50nm double structure (being denoted as " TL " in Figure 56).As shown in Figure 57, according to the embodiment of the invention shown in Figure 16,, illustrated that the specific hereto photoengraving pattern of group celestial body illumination is to be fit to printing as the calculating of the DOF@8%EL of source point function of position.Calculating is to carry out with 0.82 numerical aperture, 157nm wavelength and model against corrosion.Figure 57 also illustrates " optimal process " that be used for based on the irradiation of process window module and distributes for 30 ° of irradiations of 0.64/0.42 quasar.This irradiation distributes corresponding to the irradiation of four utmost point outer shafts, comprises the outer shaft utmost point of horizontal axis setting+/-45 ° with respect to luminaire.Each outer shaft has and respectively has 30.Angular aperture, 0.42 internal diameter and 0.64 external diameter.The tiny crisis prestige placed in the middle that Figure 57 also is illustrated in same position gives preferable process window.
Referring now to Figure 58, this equivalence diagram shows as the whole C D variable of source point function of position, determined according to the embodiment of the invention shown in Figure 55.The quasar illumination that this figure proposition has the big utmost point (or very bigger than what limit among Figure 57 at least) can be to be fit to the low CD variable and evenness that improve CD (CDU).Find out that as Figure 58 minimum CD variable obtains with slight 45 ° off-diagonal source point.
Figure 59 shows the CD variable contrast for different lighting arrangements and different parameters.For the calculating of the CD variable of above-mentioned each layout, finished by the embodiment of the invention shown in Figure 55.In Figure 59, calculate the CDU value by using described actual illumination device (A-E).The σ scope that distributes in the irradiation along X-axis line and Y-axis line shown in Figure 59 is from-1 to+1., can determine the CD value of each layout by every the CD value that average lighting point (being similar to the embodiment of the invention shown in Figure 18) comprised.As shown in this Fig, have than the quasar distribution that limits the great utmost point among Figure 57, weakened the CD variable.Obtain top condition: 0.64/0.42Q45 ° and 0.64/0.42Q60 ° for irradiation distribution subsequently.More particularly, the figure shows and select a luminaire, not only can fully reduce the CD variable based on process window.Therefore can conclude that the best CDU method of determining in the described embodiment of the invention of Figure 55 is that a useful instrument comes to optimize lighting condition according to given lithographic issues.
Though specific embodiment of the present invention below has been described, has been to be understood that the present invention can implement to be different from described mode.Above-mentioned explanation does not limit the present invention.

Claims (55)

1. one kind is used to utilize computer modeling technique to optimize the method for the lighting condition of lithographic equipment, and described lithographic equipment comprises a luminaire and an optical projection system, and described method comprises:
Determine to be printed on suprabasil photoengraving pattern;
Select analogy model;
The source point of the grid in the pupil plane of selection luminaire;
Calculate the independent response of indivedual source points, the analog result of each one of response expression or a series of use analogy models: and
In the analysis foundation adjusted Illumination Distribution of independent calculated value accumulated result,
Wherein select the step of analogy model to comprise, select a kind of method against corrosion that is used for impressing pattern in substrate, and select a model against corrosion, described model against corrosion be can prognostic experiment result's master pattern.
2. according to the method described in the claim 1, it is characterized in that each response is a focusing exposure matrix and is created in the process window that described process window comprises dosage tolerance and depth of focus information.
3. according to the method described in the claim 1, further comprise according to the average response separately that is contained in each the individual light source point in the Illumination Distribution, a response of experiment with computing illumination scheme.
4. according to the method described in the claim 1, it is characterized in that described response is El:l, density feature deviation, the arbitrary characteristics dimensional discrepancy, sideband is printed, film loss, side wall angle, mask error amplification coefficient, linear sharpness, or absolute resolution.
5. according to the method described in the claim 1, further comprise the response diagram of drawing as indivedual source point function of position.
6. according to the method described in the claim 3, further comprise the weighted mean value that uses the described response of a dosage.
7. according to the method described in the claim 1, it is characterized in that grid spacing with respect to whole luminaire aperture is in 0.01 to 0.2 scope.
8. according to the method described in the claim 1, it is characterized in that inserting the simulation grid and assist and average to increase the grid dot density.
9. according to the method described in the claim 1, wherein regulate Illumination Distribution and comprise, by changing the position with respect to the axicon/zoom modules of cone prism, diffraction optical element position, the position of aperture blade, or regulate Illumination Distribution by regulating program-controlled reflection mirror array.
10. according to the method described in the claim 1, wherein regulate Illumination Distribution and comprise the multipole luminaire layout of selection.
11., wherein regulate Illumination Distribution and comprise by multipole radiated element and determine a kind of lighting arrangements according to the method described in the claim 1.
12. according to the method described in the claim 1, it is characterized in that determining that described model against corrosion comprises, determine that model against corrosion considers in the non-zero order diffusion of vector effect, active body and the limited dissolving contrast at least.
13. the method according to described in the claim 1 further comprises, calculates the module that expression has the independent response variable of the indivedual source points that defocus, and wherein regulates the Illumination Distribution step and comprises based on module analysis adjusting Illumination Distribution.
14., it is characterized in that calculating described module step and comprise according to the method described in the claim 13:
Use defocuses;
Indivedual source points at the calculating place of defocusing defocus response separately, each defocuses the analog result of one of response expression or a series of use analogy models; And
Contrast the independent response of indivedual source points and defocus response separately.
15., it is characterized in that contrasting step and comprise indivedual source points are determined independent module response according to the method described in the claim 14.
16., it is characterized in that described independent module response is corresponding to the increment that defocuses response or defocus the reduction of response according to the method described in the claim 15.
17., it is characterized in that determining step comprises from the independent response of indivedual source points, to deduct the independent response that defocuses according to the method described in the claim 15.
18., it is characterized in that the step of regulating Illumination Distribution comprises the module response diagram of drawing as indivedual source point function of position according to the method described in the claim 15.
19., it is characterized in that the step of regulating Illumination Distribution comprises Illumination Distribution of capturing the source point with opposite module response characteristic of selection according to the method described in the claim 16.
20., it is characterized in that described defocusing in 0.02 to 0.4 mu m range according to the method described in the claim 13.
21. according to the method described in the claim 13, it is characterized in that selecting the step of analogy model to comprise, select in full model against corrosion, aerial image model, lumped parameter model and the variable threshold values model against corrosion.
22., it is characterized in that described model against corrosion comprises, determine that model against corrosion will consider the vector effect at least, in these one of the non-zero diffusion of active body and limited dissolving contrast according to the method described in the claim 1.
23., it is characterized in that described independent response comprises one of pattern critical dimension and critical strength according to the method described in the claim 13.
24., it is characterized in that described adjusting Illumination Distribution step comprises, selects a kind of lighting arrangements so that by defocusing the variable that minimizes or reduce described independent response according to the method described in the claim 13.
25. according to the method described in the claim 13, comprise further and calculate other step that responds separately of indivedual source points that single or a series of Simulation result of analogy model are used in each described other response expression.
26. according to the method described in the claim 25, it is characterized in that the step of described adjusting Illumination Distribution comprises, in the basic adjusted Illumination Distribution of described other independent response analysis.
27., it is characterized in that described other response comprises exposure latitude, depth of focus according to the method described in the claim 25, El:l, density feature deviation, sideband is printed, the film loss, side wall angle, mask error amplification coefficient, linear sharpness, or in the absolute resolution one.
28., it is characterized in that described other response comprises the arbitrary characteristics deviation according to the method described in the claim 25.
29., further comprise the described figure that other responds separately that draws as indivedual source point function of position according to the method described in the claim 25.
30., further comprise the step of setting up as the described independent response variable of indivedual source point function of position according to the method described in the claim 13.
31., it is characterized in that spacing with respect to source point in the grid in whole luminaire aperture is in 0.01 to 0.2 scope according to the method described in the claim 13.
32. method according to claim 13, it is characterized in that the step of regulating described Illumination Distribution comprises, change position, the position of diffraction optical element with respect to the axicon/zoom modules of cone prism, the position of aperture blade, or regulate program-controlled reflection mirror array.
33., it is characterized in that the step of regulating Illumination Distribution comprises the multipole luminaire layout of selection according to the method described in the claim 13.
34. according to the method described in the claim 1, further comprise, at least determine an aberration group for optical projection system, and the step that the indivedual source points of wherein said basis calculate response separately comprises, calculate response separately according to indivedual source points and at least one aberration batch total.
35. according to the method described in the claim 34, it is characterized in that comprising, calculate independent response for each source point with aberration group according to the step of indivedual source points and the independent response of at least one aberration batch total calculation.
36., further comprise one for the more described independent response of each source point and definite maximum according to the method described in the claim 35.
37., it is characterized in that the CD variable that described independent response is a photoengraving pattern according to the method described in the claim 36.
38., further comprise the step of drawing as the maximum CD variable of indivedual source point function of position according to the method described in the claim 37.
39., it is characterized in that described at least one aberration group comprises the aberration that is arranged in independent position, optical projection system zone according to the method described in the claim 34.
40. according to the method described in the claim 39, it is characterized in that described aberration group be from or characterize the aberration group of actual optical system.
41., it is characterized in that it is total wavefront deviation that described aberration is used as according to the method described in the claim 39.
42. according to the method described in the claim 34, it is characterized in that determining according to optical projection system that the step of at least one aberration group comprises determines a plurality of aberration groups, every group of correspondence from or characterize the aberration group of actual optical projection system.
43. according to the method described in the claim 1, further comprise the mask supplemental characteristic determined to become and help in substrate, to print photoengraving pattern, and the step that the indivedual source points of wherein said basis calculate response separately comprises, calculates response separately according to the indivedual source points that have and do not have the mask supplemental characteristic.
44. according to the method described in the claim 43, it is characterized in that the step of regulating described lighting arrangements comprises, select source point in grid, described grid provides the response that does not have the mask supplemental characteristic to calculate, and it is to have the optimal response that the mask supplemental characteristic calculated similar.
45. according to the method described in the claim 43, it is characterized in that described mask supplemental characteristic is an optical near-correction, anti-scattered band and be arranged on phase shift window on the mask.
46. according to the method described in the claim 1, further comprise and determine a plurality of parameters and correlated variables scope thereof, according to focusing range, dosage range, lens aberration, flicker level, pattern density variable and mask CD scope and indivedual source points calculate the module of the CD variable of expression photoengraving patterns, and the step of wherein calculating response separately according to indivedual source points comprises according in indivedual source points and a plurality of parameter each and calculates independent CD variable.
47., it is characterized in that the step of regulating described Illumination Distribution is included in the basic adjusted Illumination Distribution that module is analyzed according to the method described in the claim 46.
48. according to the method described in the claim 46, it is characterized in that for focusing range, dosage range, lens aberration, the flicker level, the CD variable of each in pattern density variable and the mask CD scope is as independent variable.
49., it is characterized in that the step of computation measure standard comprises the quadratic sum of calculating the CD variable according to each source point according to the method described in the claim 48.
50. method according to claim 15 is characterized in that described adjusting Illumination Distribution step comprises the indivedual source points of weight.
51. method according to claim 34 is characterized in that, determines the step of at least one aberration group, comprises determining typical aberration group and optical projection system being had in the specific effect typical case aberration group one.
52. method according to claim 34 is characterized in that described independent response is the CD variable, described method further comprises the step of setting up as the CD variable of indivedual source point function of position.
53. a lithographic apparatus of carrying out method according to claim 1 comprises:
A kind of illuminator that the projection radiation light beam is provided;
A supporting member that supports the composition member, described composition member is used for according to required pattern described projecting beam being carried out composition;
The base station of an anchoring base;
One is incident upon the optical projection system of base target portion with the pattern light beam;
A processor of determining to be printed on suprabasil photoengraving pattern, select the source point of a grid at the pupil plane place of illuminator, calculate response separately according to indivedual source points, each is single or a series of Simulation result of response expression use analogy model separately, and calculate a kind of Illumination Distribution of optimization on the analysis foundation that calculates accumulated result separately: and
A kind of selectable variation bundle controller, it is suitable for changing the cross-sectional strength distribution of projecting beam, and described projecting beam is present in the illuminator of basis by the optimization Illumination Distribution of described processing calculating.
54. according to the lithographic apparatus described in the claim 53, it is characterized in that described processor is further configured to calculate a kind of module, described module represents to have the variable of the independent response that defocuses indivedual source points, and a kind of expression has the module of a plurality of parameters C D variablees, wherein said parameter comprises focusing range, dosage range, lens aberration, the flicker level, pattern density variable and mask CD scope.
55. the manufacture method of an equipment comprises:
The target portion of the radiation laser beam that projection has pattern radiation-sensitive layer to the substrate wherein before the incident mask, uses a kind of method of optimizing the cross-sectional strength distribution of projecting beam, and described optimization method comprises:
Determine to be printed on suprabasil photoengraving pattern:
Select analogy model;
Source point grid in the pupil plane of selection luminaire;
Calculate the independent response of indivedual source points, the analog result of each one of response expression or a series of use analogy models; And
In the analysis foundation adjusted Illumination Distribution of calculating accumulated result separately,
Select the step of analogy model to comprise, selects a kind of method against corrosion that is used for impressing pattern in substrate, and to select a model against corrosion, described model against corrosion be energy prognostic experiment result's master pattern.
CNB2004100352721A 2003-02-11 2004-02-11 Photoetching apparatus and method for optimizing lighting light source by photoetching analog technology Expired - Fee Related CN100520583C (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/361831 2003-02-11
US10/361,831 US6839125B2 (en) 2003-02-11 2003-02-11 Method for optimizing an illumination source using full resist simulation and process window response metric
US10/716,439 US7016017B2 (en) 2003-02-11 2003-11-20 Lithographic apparatus and method for optimizing an illumination source using isofocal compensation
US10/716439 2003-11-20

Publications (2)

Publication Number Publication Date
CN1530755A CN1530755A (en) 2004-09-22
CN100520583C true CN100520583C (en) 2009-07-29

Family

ID=32829442

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100352721A Expired - Fee Related CN100520583C (en) 2003-02-11 2004-02-11 Photoetching apparatus and method for optimizing lighting light source by photoetching analog technology

Country Status (6)

Country Link
US (1) US7030966B2 (en)
EP (1) EP1473596A3 (en)
JP (1) JP4319560B2 (en)
KR (1) KR100617909B1 (en)
CN (1) CN100520583C (en)
SG (1) SG126742A1 (en)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US7471375B2 (en) * 2003-02-11 2008-12-30 Asml Netherlands B.V. Correction of optical proximity effects by intensity modulation of an illumination arrangement
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US6842223B2 (en) 2003-04-11 2005-01-11 Nikon Precision Inc. Enhanced illuminator for use in photolithographic systems
US7057709B2 (en) * 2003-12-04 2006-06-06 International Business Machines Corporation Printing a mask with maximum possible process window through adjustment of the source distribution
KR101056142B1 (en) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 Computerized method for detecting defects in reticle design data
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7117460B2 (en) * 2004-03-04 2006-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for physical parameter extraction for transistor model
US7264906B2 (en) * 2004-03-05 2007-09-04 Lsi Corporation OPC based illumination optimization with mask error constraints
CN100479097C (en) 2004-08-19 2009-04-15 株式会社尼康 Alignment information display method, program thereof, alignment method, exposure method, device manufacturing method, display system, display device, program, and measurement/inspection device
US7575852B2 (en) * 2004-08-20 2009-08-18 Macronix International Co., Ltd. Method of optically transferring a pattern from a mask having advanced oriented assist features for integrated circuit hole patterns
JP4528580B2 (en) * 2004-08-24 2010-08-18 株式会社東芝 Illumination light source design method, mask pattern design method, photomask manufacturing method, semiconductor device manufacturing method, and program
JP4904034B2 (en) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7386182B2 (en) * 2004-11-12 2008-06-10 Texas Instruments Incorporated Optimization of multiple feature lithography
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
DE102005003905B4 (en) * 2005-01-27 2007-04-12 Infineon Technologies Ag Arrangement for projecting a pattern into an image plane
SG125232A1 (en) * 2005-02-23 2006-09-29 Asml Masktools Bv Method, program product and apparatus for optimizing illumination for full-chip layer
US7712064B2 (en) * 2005-05-20 2010-05-04 Cadence Design Systems, Inc. Manufacturing aware design of integrated circuit layouts
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
EP1744214B1 (en) * 2005-07-15 2010-03-03 Imec Methods and systems for improved optical lithographic processing
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
EP1920369A2 (en) * 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20070121090A1 (en) * 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1804119A1 (en) * 2005-12-27 2007-07-04 Interuniversitair Microelektronica Centrum Method for manufacturing attenuated phase- shift masks and devices obtained therefrom
US7643976B2 (en) * 2006-02-28 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for identifying lens aberration sensitive patterns in an integrated circuit chip
CN100474115C (en) 2006-04-04 2009-04-01 上海微电子装备有限公司 Aberration field measuring method for imaging optical system of photoetching apparatus
CN101086622B (en) * 2006-06-08 2010-05-12 中芯国际集成电路制造(上海)有限公司 Method for using inverse scattering belt
US7596420B2 (en) * 2006-06-19 2009-09-29 Asml Netherlands B.V. Device manufacturing method and computer program product
US7493590B1 (en) * 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
JP2008020735A (en) * 2006-07-13 2008-01-31 Toshiba Corp Evaluation method for photomask and method for manufacturing semiconductor device
US7738692B2 (en) 2006-07-20 2010-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of determining quality of a light source
JP2008041710A (en) * 2006-08-01 2008-02-21 Fujitsu Ltd Lighting optical device, exposure method, and design method
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US7877722B2 (en) * 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US20080158529A1 (en) * 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
JP2008198380A (en) * 2007-02-08 2008-08-28 Toshiba Corp Charge orbital calculation method and charge orbital calculation system
JP2008263143A (en) * 2007-04-13 2008-10-30 Toshiba Corp Method of evaluating light source of exposure apparatus, method of designing illumination geometry of exposure apparatus, and software for optimizing illumination geometry of exposure apparatus
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8237913B2 (en) 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
JP4997006B2 (en) * 2007-07-18 2012-08-08 ルネサスエレクトロニクス株式会社 Reflective exposure method
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
KR101448971B1 (en) 2007-08-20 2014-10-13 케이엘에이-텐코어 코오포레이션 Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US8451427B2 (en) * 2007-09-14 2013-05-28 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
JP2009071125A (en) * 2007-09-14 2009-04-02 Canon Inc Method and program determining exposure condition
JP4402145B2 (en) * 2007-10-03 2010-01-20 キヤノン株式会社 Calculation method, generation method, program, exposure method, and original plate creation method
US8715909B2 (en) * 2007-10-05 2014-05-06 Infineon Technologies Ag Lithography systems and methods of manufacturing using thereof
US7846643B1 (en) 2007-11-02 2010-12-07 Western Digital (Fremont), Llc Method and system for providing a structure in a microelectronic device using a chromeless alternating phase shift mask
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
DE102008011501A1 (en) 2008-02-25 2009-08-27 Carl Zeiss Smt Ag Method for operating an illumination system of a microlithographic projection exposure apparatus
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
JP2009302206A (en) * 2008-06-11 2009-12-24 Canon Inc Method of determining exposure parameter, program for determining exposure parameter, exposure method, and device manufacturing method
JP5153492B2 (en) * 2008-07-11 2013-02-27 キヤノン株式会社 Exposure condition determining method and computer program
JP5086926B2 (en) * 2008-07-15 2012-11-28 キヤノン株式会社 Calculation method, program, and exposure method
KR101623747B1 (en) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
US9182682B2 (en) * 2008-12-30 2015-11-10 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
EP2207064A1 (en) 2009-01-09 2010-07-14 Takumi Technology Corporation Method of selecting a set of illumination conditions of a lithographic apparatus for optimizing an integrated circuit physical layout
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8446565B2 (en) * 2010-01-11 2013-05-21 Macronix International Co., Ltd. Methods of optical proximity correction in manufacturing semiconductor devices
CN102262352B (en) * 2010-05-27 2014-05-07 中芯国际集成电路制造(上海)有限公司 Method for producing masks, and optical proximity correction method for layout patterns
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
JP5513324B2 (en) * 2010-09-01 2014-06-04 キヤノン株式会社 Determination method, exposure method and program
NL2007287A (en) 2010-09-14 2012-03-15 Asml Netherlands Bv Correction for flare effects in lithography system.
JP5539140B2 (en) * 2010-09-28 2014-07-02 キヤノン株式会社 Determination method, exposure method, program, and computer
CN102456591B (en) * 2010-10-18 2014-10-01 中芯国际集成电路制造(上海)有限公司 Testing sample wafer and using method thereof
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8699003B2 (en) * 2011-06-07 2014-04-15 Nanya Technology Corp. Method for determining illumination source with optimized depth of focus
NL2008924A (en) 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
JP5835968B2 (en) * 2011-07-05 2015-12-24 キヤノン株式会社 Determination method, program, and exposure method
NL2009056A (en) * 2011-08-09 2013-02-12 Asml Netherlands Bv A lithographic model for 3d topographic wafers.
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
EP2570854B1 (en) 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
US8458626B1 (en) * 2012-01-20 2013-06-04 International Business Machines Corporation Method for calibrating an SRAF printing model
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
CN102662313B (en) * 2012-05-09 2016-03-23 上海华虹宏力半导体制造有限公司 Lithography alignment parameter prediction method and photoetching method
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
CN102692814B (en) * 2012-06-18 2013-09-11 北京理工大学 Light source-mask mixed optimizing method based on Abbe vector imaging model
CN102879108B (en) * 2012-08-14 2014-08-27 中国科学院光电技术研究所 Four-quadrant tracking sensor with beam-splitting rectangular pyramid
JP2014045148A (en) 2012-08-28 2014-03-13 Toshiba Corp Control method of exposure device, control program of exposure device, and exposure device
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9250535B2 (en) 2013-03-15 2016-02-02 International Business Machines Corporation Source, target and mask optimization by incorporating countour based assessments and integration over process variations
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
CN104281019B (en) * 2013-07-08 2016-02-17 中芯国际集成电路制造(上海)有限公司 Changing to value calibration method of photoetching
CN103389037B (en) * 2013-07-12 2016-04-06 东华大学 A kind of illumination diffraction optical element geometric techniques parameter detection device and method
KR20150024676A (en) 2013-08-27 2015-03-09 (주) 아이씨티케이 Apparatus and method for generting physical unclonable function by modifiying photo mask of semiconductor process
CN103454865A (en) * 2013-09-05 2013-12-18 中国科学院光电技术研究所 Deep ultra-violet lithography illuminating system
KR101901729B1 (en) 2014-05-02 2018-09-28 에이에스엠엘 네델란즈 비.브이. Reduction of hotspots of dense features
CN104133348B (en) * 2014-08-07 2016-04-27 北京理工大学 A kind of adaptive optical etching system light source optimization method
WO2016206965A1 (en) 2015-06-23 2016-12-29 Asml Netherlands B.V. Lithographic apparatus and method
US20170008621A1 (en) * 2015-07-08 2017-01-12 Honeywell International Inc. Accurate object detection in free space using controlled light source techniques
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
US20170256465A1 (en) * 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10901322B2 (en) * 2017-05-12 2021-01-26 Asml Netherlands B.V. Methods for evaluating resist development
CN110658689B (en) * 2018-06-29 2021-02-05 上海微电子装备(集团)股份有限公司 Method and device for compensating illumination uniformity of photoetching machine, illumination system and photoetching machine
JP7390804B2 (en) 2019-05-17 2023-12-04 キヤノン株式会社 Exposure device, exposure method, determination method, and article manufacturing method
CN113048905B (en) * 2019-12-27 2022-08-19 上海微电子装备(集团)股份有限公司 Alignment mark image making method, alignment mark measuring method and alignment mark measuring device
CN111399336B (en) * 2020-04-17 2021-07-27 中国科学院上海光学精密机械研究所 Method for screening key graph for optimizing full-chip light source mask based on profile representation
CN111624850B (en) * 2020-06-08 2021-07-27 中国科学院上海光学精密机械研究所 Key graph screening method for full-chip light source mask optimization

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5305054A (en) * 1991-02-22 1994-04-19 Canon Kabushiki Kaisha Imaging method for manufacture of microdevices
JPH06163350A (en) * 1992-11-19 1994-06-10 Matsushita Electron Corp Projection exposure method and device thereof
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
KR100257710B1 (en) * 1996-12-27 2000-06-01 김영환 Simulation method of lithography process
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
DE69931690T2 (en) * 1998-04-08 2007-06-14 Asml Netherlands B.V. Lithographic apparatus
US6128067A (en) * 1998-04-28 2000-10-03 Kabushiki Kaisha Toshiba Correcting method and correcting system for mask pattern
US6466304B1 (en) * 1998-10-22 2002-10-15 Asm Lithography B.V. Illumination device for projection system and method for fabricating
TW479157B (en) * 2000-07-21 2002-03-11 Asm Lithography Bv Mask for use in a lithographic projection apparatus and method of making the same
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
JP2002190443A (en) * 2000-12-20 2002-07-05 Hitachi Ltd Exposure method and its aligner
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US7175940B2 (en) * 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
US6976240B2 (en) * 2001-11-14 2005-12-13 Synopsys Inc. Simulation using design geometry information
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Optimization of the spatial properties of illumination forimproved lithographic response. Chris A.Mack.SPIE,Vol.1927 . 1993
Optimization of the spatial properties of illumination forimproved lithographic response. Chris A.Mack.SPIE,Vol.1927 . 1993 *

Also Published As

Publication number Publication date
SG126742A1 (en) 2006-11-29
CN1530755A (en) 2004-09-22
EP1473596A2 (en) 2004-11-03
EP1473596A3 (en) 2006-09-06
JP2004247737A (en) 2004-09-02
US7030966B2 (en) 2006-04-18
KR100617909B1 (en) 2006-09-13
JP4319560B2 (en) 2009-08-26
US20040156030A1 (en) 2004-08-12
KR20040073364A (en) 2004-08-19

Similar Documents

Publication Publication Date Title
CN100520583C (en) Photoetching apparatus and method for optimizing lighting light source by photoetching analog technology
US20220147665A1 (en) Process window optimizer
US6839125B2 (en) Method for optimizing an illumination source using full resist simulation and process window response metric
US7245356B2 (en) Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7180576B2 (en) Exposure with intensity balancing to mimic complex illuminator shape
CN104364605B (en) For the critical dimension homogeneity monitoring of extreme ultraviolet light shield
TWI797362B (en) Method for determining an etch profile of a layer of a wafer for a simulation system
CN107077077B (en) Processing window identifier
US7684013B2 (en) Lithographic apparatus and device manufacturing method
US20070121090A1 (en) Lithographic apparatus and device manufacturing method
CN106463434A (en) Computational wafer inspection
US8043797B2 (en) Lithographic apparatus and device manufacturing method
JP2002015997A (en) Operation method of lithographic projection system
CN101452221A (en) Methods and system for lithography process window simulation
CN111213090B (en) Optimization flow of patterning process
US20190204750A1 (en) Automatic selection of metrology target measurement recipes
KR20180095638A (en) Separation of sources from metrology data
US20080278698A1 (en) Lithographic apparatus and method
US7528934B2 (en) Lithographic apparatus and device manufacturing method
JP4639120B2 (en) Method for optimizing optical transfer of a mask pattern, lithographic projection apparatus and machine-readable medium

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090729

Termination date: 20140211