CN100514548C - 群集式工具的处理系统和模块周期时间监视程序 - Google Patents

群集式工具的处理系统和模块周期时间监视程序 Download PDF

Info

Publication number
CN100514548C
CN100514548C CNB2006100003857A CN200610000385A CN100514548C CN 100514548 C CN100514548 C CN 100514548C CN B2006100003857 A CNB2006100003857 A CN B2006100003857A CN 200610000385 A CN200610000385 A CN 200610000385A CN 100514548 C CN100514548 C CN 100514548C
Authority
CN
China
Prior art keywords
module
time
wafer
processing
processing module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2006100003857A
Other languages
English (en)
Other versions
CN1812051A (zh
Inventor
池田岳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1812051A publication Critical patent/CN1812051A/zh
Application granted granted Critical
Publication of CN100514548C publication Critical patent/CN100514548C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32077Batch control system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32306Rules to make scheduling decisions
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45032Wafer manufacture; interlock, load-lock module
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

本发明的处理系统在处理时间独立的多个处理模块之间避免晶片搬入搬出的定时冲突的危险,提高系统整体的搬送效率或处理能力。在该处理系统中,在群集式工具内同时作业的多个例如处理模块(PM1、PM2、PM3、PM4)中,将被处理体滞留时间与位于其前后的附带的忙碌时间相加的模块周期时间设定成相同长度,搬送模块(TM)的搬送机器人(RB1)在对处理模块(PM1、PM2、PM3、PM4)一次访问中通过拿起和放置工作搬出处理完的晶片(Wi)并与之交替地搬入下一个晶片(Wi11)。

Description

群集式工具的处理系统和模块周期时间监视程序
技术领域
本发明涉及群集式工具的处理系统,特别是涉及将一群被处理体逐个依次搬送到群集式工具内的多个处理模块进而实施一系列处理的处理系统。
背景技术
群集式工具是为谋求处理的一贯化、连结化或者复合化而将多个处理模块配置于主搬送室周围的多腔室装置,典型地在半导体制造装置中被采用(专利文献1)。
例如,薄膜形成加工用的群集式工具的各处理模块的腔室和主搬送室均保持于真空中,隔着门阀将装料闸模块连接于主搬送室。被处理体例如半导体晶片在大气压中被搬入装料闸模块,然后从切换成减压状态的装料闸模块搬入主搬送室。设置在主搬送室中的搬送机构将从装料闸模块取出的半导体晶片搬入第一号处理模块。该处理模块花费按照预先设定的过程的规定的时间实施第一工序的处理(例如第一层的成膜处理)。该第一工序的处理一结束,主搬送室的搬送机构就从第一号处理模块搬出该半导体晶片,接着搬入第二号处理模块。在该第二号处理模块中也花费按照预先设定的过程的规定的时间实施第二工序的处理(例如第二层的成膜处理)。该第二工序的处理一结束,主搬送室的搬送机构就从第二号处理模块搬出该半导体晶片,在有下道工序时搬入第三号处理模块,在没有下道工序时返回到装料闸。在第三号以下的处理模块中进行处理的情况下,也在其后有下道工序时搬入下一级的处理模块,在其后没有下道工序时返回到装料闸。
这样,一将完成处理模块进行的一系列的处理的半导体晶片搬入装料闸,装料闸模块就从减压状态切换为大气压状态,从与主搬送室相对侧的晶片出入口搬出。
这样,群集式工具适合于将一群被处理体在真空气氛中逐个依次搬送到多个处理模块而实施一系列的处理(例如成膜处理或热处理等)的直列(inline)的处理系统。
【专利文献1】日本专利特开2000—127069号公报
发明内容
在通常的群集式工具中,主搬送室的搬送机构能够暂时访问一台处理模块,不能同时访问两台处理模块。现有技术的这种群集式工具,在各处理模块中个别地进行规定时间的过程处理(处理任务),安排成如果处理任务结束后不立即进行的晶片搬送,则搬送机构从该处理模块搬出处理完的被处理体。因此,在以流水线方式处理一群被处理体时晶片在多个处理模块之间搬入搬出的定时有冲突或竞争的可能性。例如,在上述例子中,有第二号处理模块的处理时间用完,搬送机构正要从该处理模块取出半导体晶片向装料闸模块移动时,第一号处理模块的处理时间用完的情况。在此情况下,搬送机构先结束前面刚刚开始的从第二号处理模块向装料闸模块的晶片搬送,然后访问第一号处理模块并取出半导体晶片,将取出的半导体晶片搬入到第二号处理模块。
但是,这种搬送顺序存在搬送效率或处理模块的开工率低的问题。例如,在上述例子中,第一号处理模块从处理时间用完到搬送机构来取该晶片,不得不留置处理完的半导体晶片。该第一号处理模块的处理时间与晶片搬入搬出时间相加的一片晶片的总所需时间在系统内为最大(比其他任何处理模块的一片晶片的总所需时间都长)时,总所需时间从其最大总所需时间进一步加长留置时间,该延长的周期限制系统整体的搬送节拍。
另一方面,在第二号处理模块中,搬出处理完的半导体晶片,不与之替换,过一会儿后,也就是搬送机构访问到第一号处理模块,取出半导体晶片之后搬入该半导体晶片。因此,门阀的开闭工作或搬送机构的搬送工作等中需要两次手续。进而,由于到开始下一个过程处理加入等待时间,故在该第二号处理模块中的一片晶片的总所需时间为系统内的最大时,该最大总所需时间的间隔或间歇的等待时间进一步延长,该情况也成为系统整体的搬送节拍增大的困境。当然,搬送节拍的增大关系到处理模块的开工率或处理能力的降低。
进而,在各处理模块中,在过程处理结束后,搬出晶片之后有时还进行N2净化等后处理(后处理过程),由于在该后处理的实行时间中该处理模块的功能被占用,所以无法进行后续的搬入晶片。因而,在上述例子中一片晶片的总所需时间在系统内为最大的处理模块中进行这种后处理时,由于更多的延长而成为系统整体的搬送节拍更加增大的困境。
本发明鉴于上述现有技术的问题点而完成,目的在于提供一种在处理时间独立的多个处理模块之间避免晶片搬入搬出的定时冲突的危险,并提高系统整体的搬送效率或处理能力的群集式工具的处理系统及模块周期时间监视程序。
为实现上述目的,本发明的第一处理系统是在搬送机构的周围配置上述搬送机构能够访问的多个处理模块,通过上述搬送机构将一群被处理体逐个依次搬送到上述多个处理模块,并对各被处理体实施一系列处理的群集式工具的处理系统,对于上述多个处理模块,将一片被处理体滞留于模块内的滞留时间与在该滞留的前后被该被处理体占用模块的功能的附带的忙碌时间相加的模块周期时间设定为实际上相同的长度,上述搬送机构以与各被处理体循环一次相同的顺序巡回上述多个处理模块,在对各个上述处理模块的访问中搬出处理完的被处理体并与之交替地搬入后续的另一片被处理体。
本发明的模块周期时间监视程序,是在搬送机构的周围配置上述搬送机构能够访问的多个处理模块,通过上述搬送机构将一群被处理体逐个依次搬送到上述多个处理模块,并对各被处理体实施一系列处理的群集式工具用的模块周期时间监视程序,实行:根据过程信息计算各处理模块中将每块被处理体的过程上的处理时间、搬入时间、搬出时间与刚搬出后的后处理时间相加的总所需时间的步骤;确定在上述多个处理模块中上述总所需时间最大者为模块周期时间的步骤;根据上述模块周期时间设定在各个上述处理模块中上述处理时间之前或之后使上述被处理体滞留的等待时间的步骤;和上述搬送机构以与各被处理体循环一次相同的顺序巡回上述多个处理模块,在对各个上述处理模块的一次的访问中搬出处理完的被处理体并与之交替地搬入后续的另一片被处理体的步骤。
在上述第一处理系统中,对于在群集式工具内同时作业的所有处理模块,将一片被处理体滞留于模块内的滞留时间与在该滞留的前后被该被处理体占用模块的功能的附带的忙碌时间相加的模块周期时间设定为实际上相同的长度,由此一片被处理体的单片处理工作的周期在整个模块中成为相同值(最小值)。搬送机构以与各被处理体一次循环的相同的顺序巡回处理模块,对上道工序的处理模块搬出刚刚在那里处理完成的被处理体,并与之交替地搬入未处理的下一片被处理体之后,访问下道工序的处理模块,搬出刚刚在那里处理完成的被处理体,并与之交替地搬入刚刚从上道工序的处理模块搬出的被处理体。与处理内容无关地被处理体的搬入搬出的周期在所有的处理模块中是相同的,通过在它们之间设置一定的偏移(时间差),可以可靠地避免在任意多个处理模块之间被处理体的搬入搬出的定时冲突或竞争。
根据本发明的一种最佳方式,附带的忙碌时间包括搬送机构将被处理体搬入各处理模块所需的搬入时间,和搬送机构从各处理模块搬出被处理体所需的搬出时间。进而,在刚刚从各处理模块搬出被处理体后立即在该处理模块中进行该被处理体用的后处理的情况下,该后处理所需的时间也包含于附带的忙碌时间。
根据本发明的一种最佳方式,以在多个处理模块中将一片被处理体的真实的处理时间与附带的忙碌时间相加的总所需时间最大的处理模块作为基准,将该最大总所需时间作为模块周期时间。在此情况下,在将一片被处理体的真实的处理时间与附带的忙碌时间相加的总所需时间短于模块周期时间的处理模块中,在被处理体滞留时间之中作为等待时间消耗模块周期时间与总所需时间之差,但是如上上述由于群集式工具内的搬送节拍由最大总所需时间限制,故该等待时间不影响搬送节拍。根据一种最佳方式,搬入被处理体之后经过等待时间后开始处理。所以,可以在处理结束之后经过等待时间后搬出处理完的被处理体。
此外,根据本发明的一种最佳方式,在一群被处理体之中的先头的被处理体在群集式工具内在多个处理模块之间循环一次时,在除去先头的处理模块的各处理模块中,对假想的被处理体以规定的定时(与定常时间相同的定时)消耗模块周期时间之后,搬送机构将先头的被处理体搬入该处理模块。通过该方式,在本发明中可以在任意多个处理模块之间谋求被处理体的搬入搬出的定时没有冲突或竞争的协议的适当的初始化。
本发明的第二处理系统,是在搬送机构的周围配置上述搬送机构能够访问的第一组和第二组处理模块,通过上述搬送机构将一群被处理体逐个依次搬送到上述第一组处理模块,并对各被处理体实施一种或一系列的处理,同时将另一群被处理体逐个依次搬送到上述第二组处理模块,并对各被处理体实施一种或一系列的群集式工具的处理系统,对于属于上述第一组或第二组的所有处理模块,将一片被处理体滞留于模块内的滞留时间与在该滞留的前后被该被处理体占用模块的功能的附带的忙碌时间相加的模块周期时间设定为实际上相同的长度,上述搬送机构以与各被处理体循环一次相同的顺序分别巡回上述第一组和第二组处理模块,在对各个上述处理模块的访问中搬出处理完的被处理体并与之交替地搬入后续的另一片被处理体。
在上述第二处理系统中,在群集式工具内两个系统的流水线处理并行地进行中,对于属于第一组或第二组的所有的处理模块,将一个被处理体滞留于模块内的滞留时间与在该滞留的前后被该被处理体占用模块的功能的附带的忙碌时间相加的模块周期时间设定为实际上相同的长度。搬送机构进行时间共享的搬送工作,在第一组和第二组的每一个中以与各被处理体循环一周相同的顺序巡回处理模块,对上道工序的处理模块搬出刚刚在那里处理完成的被处理体,在与之交替地搬入未处理的下一片被处理体之后,访问下道工序的处理模块,搬出刚刚在那里处理完的被处理体,并替换搬入刚刚从上道工序的处理模块搬出来的被处理体。与处理内容无关,且第一组或第二组无关地单片处理工作的周期与所有的处理模块相同,通过在它们之间设置一定的偏移(时间差),可以可靠地避免在任意多个处理模块之间被处理体的搬入搬出的定时冲突或竞争。
根据本发明的一种最佳方式,在搬送的顺序中位于前后的两个处理模块之间,与从上游侧或上道工序侧的处理模块搬出处理完的第一被处理体的定时大致同时或紧接其后,在下游侧或下道工序侧的处理模块中被处理体滞留时间用完,搬出在下游侧的处理模块中处理完成的第二被处理体,并与之交替地搬入第一被处理体。根据该搬送顺序,可以使搬送机构在模块之外保持上道工序的处理完成的第一被处理体的时间最短,可以提高连续处理的空闲的管理。因而,优选将搬送的顺序中位于前后的处理模块在空间上相邻地配置。
此外,根据本发明的一种最佳方式,搬送机构具有能够出入于各个处理模块的两个搬送臂,在对各处理模块的一次的访问中以一个搬送臂搬出处理完成的被处理体(拿起工作),与之交替地以另一个搬送臂搬入后续的另一片被处理体(放置工作)。通过这种拿起工作和放置工作,可以最大限度地发挥本发明的搬送方式的优点。
此外,根据本发明的一种最佳方式,群集式工具内的多个处理模块分别具有真空腔室,搬送机构设置在真空搬送室内,在真空搬送室中各个处理模块隔着门阀连接,搬送机构在真空中搬送各被处理体。根据该构成,可以在真空气氛中进行流水线的连续处理。
此外,在一种最佳方式中,群集式工具内的多个处理模块中的至少一个是在减压下在被处理体上形成薄膜的成膜处理装置。此外,装料闸模块可以隔着门阀连接于真空搬送室。在此情况下,全新或未处理的被处理体从大气气氛中经由装料闸模块被搬入到真空气氛中的群集式工具内,在多个处理模块中接受期望的一系列的处理之后经由装料闸模块返回到大气气氛中。
根据本发明的群集式工具的处理系统,避免在处理时间独立的多个处理模块之间晶片搬入搬出的定时冲突的危险,可以提高系统整体的搬送效率或处理能力。
附图说明
图1是表示一种实施方式的群集式工具的构成的概略俯视图。
图2是表示说明实施方式的拿起和放置工作的模式图。
图3是表示实施方式的过程上的处理顺序的程序框图。
图4A是表示实施方式的晶片滞留时间的详细内容的一例的示意图。
图4B是表示实施方式中的晶片滞留时间的详细内容的一例的示意图。
图4C是表示实施方式中的晶片滞留时间的详细内容的一例的示意图。
图5是表示实施例的搬送顺序的一例的示意图。
图6是表示参考例的搬送顺序的一例的示意图。
图7是表示另一实施例的搬送顺序的一例的示意图。
图8是表示参考例的搬送顺序的一例的示意图。
图9是表示另一实施例的搬送顺序的一例的示意图。
图10是表示实施方式的群集式工具的一个变形例的构成的概略俯视图。
符号说明
TM搬送模块,RB1真空搬送机器人,FA、FB搬送臂,PM1、PM2、PM3、PM4处理模块,LLM1、LLM2装料闸模块,GV门阀,LM装料模块,LP装料口,ORT定向平面对齐机构(orientation flatalignment mechanism),RB2大气搬送机器人,10导轨,12滑块。
具体实施方式
下面,参照附图说明本发明的最佳实施方式。
图1表示本发明的一个实施方式的群集式工具的处理系统的构成。该群集式工具的处理系统是在构成中央搬送室的搬送模块TM的周围环状地配置四个处理模块PM1、PM2、PM3、PM4和两个装料闸模块LLM1、LLM2的多腔室装置。各个模块具有可以个别地形成期望的真空度的真空腔室或处理室,中心部的搬送模块TM隔着门阀GV与周边的各模块PM1、PM2、PM3、PM4、LLM1、LLM2连接。
在搬送模块TM的室内设置有具有能够旋转和伸缩的一对搬送臂FA、FB的真空搬送机器人RB1。该搬送机器人RB1为各搬送臂FA、FB可以在其叉形的末端操作器上保持一片被处理体例如半导体晶片(以下简称为“晶片”)的状态,通过开状态的门阀GV,搬送臂FA、FB中的某一个有选择地插入或拉出周围的各模块PM1、PM2、PM3、PM4、LLM1、LLM2,从而可以进行晶片的搬入(装料)/搬出(卸料)。两个搬送臂FA、FB背靠背地搭载于机器人主体,一体地旋转运动,在一个搬送臂停止于原位置的状态下另一个搬送臂在原位置与前方(周边模块内)的往复运动位置之间伸缩移动。
处理模块PM1、PM2、PM3、PM4在各自的腔室内以规定的条件(处理气体、电力等)进行规定的单片处理,例如CVD或溅射等成膜处理、热处理、干蚀刻加工等。此外,装料闸模块LLM1、LLM2也可以根据需要装备加热部或冷却部。
装料闸模块LLM1、LLM2在与搬送模块TM相对侧隔着门阀GV与常大气压下的装料模块LM连接。进而,与该装料模块LM邻接地设置有装料口LP和定向平面对齐机构ORT。装料口LP用于在与外部搬送车之间投入、取出晶片盒CR。定向平面对齐机构ORT用于晶片W的定向平面或使缺口符合规定的位置或方向。
设置在装料模块LM内的大气搬送机器人RB2具有能够伸缩的搬送臂,能够在直线导轨(直线滑块)LG上在水平方向上移动,并且能够升降、旋转,在装料口LP、定向平面对齐机构ORT和装料闸模块LLM1、LLM2之间往复并以一片或多片单位搬送晶片。其中,直线导轨LG由永久磁铁制成的磁体、驱动用励磁线圈和尺头(scale head)等构成,根据来自主控器的指令进行搬送机器人RB2的直线驱动控制。
这里,说明用来使投入装料口LP的晶片盒CR内的一片晶片在该群集式工具内接受一系列的处理的基本的晶片搬送顺序。
装料模块LM的搬送机器人RB2从装料口LP上的晶片盒CR取出一片晶片Ws,将该晶片Ws搬送到定向平面对齐机构ORT接受定向平面对齐,完成后移送到装料闸模块LLM1、LLM2中的某一个(例如LLM1)。移送目的地的装料闸模块LLM1在大气压状态下接收晶片Ws,搬入后将室内抽真空,在减压状态下将晶片Ws送到搬送模块TM的真空搬送机器人RB1
搬送机器人RB1使用搬送臂FA、FB中的一个,将从装料闸模块LLM1取出的晶片Ws搬入到第一号处理模块(例如PM1)。处理模块PM1以按照预先设定的过程的规定的处理条件(气体、压力、电力、时间等)实施第一工序的单片处理。
在该第一工序的单片处理结束后,搬送机器人RB1从处理模块PM1搬出晶片Ws。也可以在该处理模块PM1中取出晶片Ws后立即进行净化或抽真空等后处理。搬送机器人RB1将从第一号处理模块PM1搬出的晶片Ws接着搬入到第二号处理模块(例如PM2)。在该第二号处理模块PM2中,也以按照预先设定的过程的规定的处理条件实施第二工序的单片处理。
该第二工序的单片处理一结束,搬送机器人RB1就从第二号处理模块PM2搬出晶片Ws。在该处理模块PM2中也可以搬出晶片Ws后立即进行后处理。搬送机器人RB1将从该处理模块PM2搬出的晶片Ws在有下道工序时搬入到第三号处理模块(PM3或PM4),在没有下道工序时搬送到装料闸模块LLM1、LLM2中的一个。在第三号以下的处理模块中进行处理的情况下,也在其后有下道工序时搬入到后级的处理模块,在没有下道工序时返回到装料闸模块LLM1、LLM2中的一个。此外第三号以下的处理模块中也在搬出晶片Ws后立即有后处理,与第一号或第二号处理模块PM1、PM2的情况是相同的。
这样,如果在群集式工具内的多个处理模块PM1、PM2...中受到一系列的处理的晶片Ws被搬入到装料闸模块中的一个(例如LLM2),则该装料闸模块LLM2的室内从减压状态切换为大气压状态。之后,装料模块LM的搬送机器人RB2从大气压状态的装料闸模块LLM2取出晶片Ws后返回到晶片盒CR。其中,也可以在装料闸模块LLM1、LLM2中对滞留中的晶片Ws在期望的气氛下施行加热或冷却处理。
如上所述,该群集式工具可以将晶片在真空中依次搬送到多个处理模块并实施一系列的处理,特别是可以在真空薄膜形成加工中在多个处理模块中连续地进行不同的成膜加工,直列地叠层形成期望的薄膜。此外,因为多个处理模块以流水线方式连续地重复各自的单片处理,故可以实现高的开工率和生产率。
但是,由于是以一台搬送模块TM的搬送机器人RB1完全掌管真空群集内的晶片搬送的搬送系统,所以无法在多个处理模块之间同时进行晶片的搬入搬出。因此,如果在多个处理模块之间晶片的搬入搬出的定时接近或竞争,则排在后面一方的处理模块中产生浪费的等待时间,产生一圈下来其他的(优先一方的)处理模块中也拖长到下次访问的间歇时间的结果,系统整体的搬送效率或开工率降低。在本实施方式中,如后所述,消除群集式工具内的多个处理模块之间晶片搬入搬出的定时竞争的可能性,尽可能地缩短搬送机器人RB1对各处理模块的访问周期或各处理模块中的单片处理的周期,提高系统整体的搬送效率或开工率从而提高处理能力。
在本实施方式中,搬送模块TM的搬送机器人RB1如上所述具有一对搬送臂FA、FB,对于其周围的各处理模块PM1、PM2、PM3、PM4,在一次模块访问中进行替换该模块中刚处理完的晶片与下次在该处理模块中将要接受处理的晶片的拿起和放置工作。
这里,以图2所示的图示说明本实施方式中的拿起和放置工作。如图2(A)所示,搬送机器人RB1在一个搬送臂例如FA上保持将要搬入到目的处理模块PMn的未处理(处理前)的晶片Wj,将另一个搬送臂FB保持没有晶片的空的状态而与该处理模块PMn对准。然后,如图2(B)、(C)所示,将空的搬送臂FB插入到该处理模块PMn的腔室,从其中取出处理完的晶片Wi(拿起工作)。接着,如图2(D)所示,使搬送臂FA、FB旋转(翻转)180°,使保持有未处理的晶片Wj搬送臂FA对着该处理模块PMn的正面。之后,下次如图2(E)、(F)所示,将搬送臂FA插入到该处理模块PMn的腔室,将该晶片Wj送到内部的载置台或支持销等,拉出成为空的搬送臂FA(放置工作)。其中,在该拿起和放置工作期间,设置在该处理模块PMn的晶片出入口的门阀GV(图1)成为打开的状态。
这样,搬送模块TM的搬送机器人RB1在对各处理模块PMn的一次的访问中,通过上述这种拿起和放置工作可以替换在该处理模块中处理完成的晶片Wi与接着在该处理模块中将要接受处理的半导体晶片Wj。进而,搬送机器人RB1对各装料闸模块LLM1、LLM2也是通过与上述同样的拿起和放置工作在一次的访问中可以进行新的晶片和处理完的晶片的替换或交接。此外,在一次的访问中,如果可以继拿起工作后立即进行放置工作,则在拿起工作之后也可以过少许等待时间后进行放置工作。进而,也可以单动地进行仅搬出晶片Wi的拿起工作或仅搬入晶片Wj的放置工作。
图3表示本实施方式中的各处理模块PMn过程上的处理顺序。该软件处理根据过程开始要求,在统一控制该系统的整体和各部分的控制部(未图示)中进行。
该控制部由计算机例如个人计算机构成,实行以下所述的模块周期时间监视程序。该模块周期时间监视程序储存、保存于CD—ROM、软盘等存储媒体,控制部根据操作者的指示将模块周期时间监视程序载入主存储器。该模块周期时间监视程序如下地被实行。
最初,在前处理过程(步骤S1)中,对预先设定的处理参数等各种设定值或系统内的各部分的功能进行所需的初始化。
接着,在事前(处理实行前)计算基于控制器芯片内的过程信息的各处理模块PMn中的过程上的处理时间PTn、搬入时间ATn、搬出时间BTn、后处理时间CTn(步骤S2)。
这里,处理时间PTn是累计构成一次的单片处理的多个步骤的所需时间而得到的时间,是为完成所需的单片处理必须将半导体晶片留置于该处理模块PMn内的必要最小时间。搬入时间ATn是搬送模块TM的搬送机器人RB1将半导体晶片搬入到该处理模块PMn内所需的时间,包括搬送机器人RB1的搬送臂FA、FB的旋转和伸缩工作的所需时间和门阀GV的开闭工作的所需时间等。搬出时间BTn是搬送机器人RB1从该处理模块PMn搬出半导体晶片所需的时间,还包括搬送机器人RB1的搬送臂FA、FB的旋转和伸缩工作的所需时间和门阀GV的开闭工作的所需时间等。后处理时间CTn是在从该处理模块PMn取出晶片后立即主要用来调整或设置模块的状态或处理室的气氛的后处理(例如净化、抽真空等)所需的时间。
接着,根据作为过程集合体的数据集的全局数据,找出一片晶片的总所需时间STn(过程处理时间PTn+搬入时间ATn+搬出时间BTn+后处理时间CTn)在系统整体中最大的处理模块PMn,将该最大总所需时间ST(MAX)确定为模块周期时间MT(步骤S3)。也就是说,该群集式工具的模块周期时间MT设定为等于在系统内同时开工的所有处理模块PM1、PM2、...中的一片晶片的总所需时间ST1、ST2、...之中的最大值的周期。这里,在总所需时间STn中,(搬入时间ATn+搬出时间BTn+后处理时间CTn)是在过程处理时间PTn的前后各个处理模块PMn的功能由于该半导体晶片的搬入、搬出、后处理而被占用(无法接受别的晶片)附带的忙碌时间。
实际上在实行处理中如果将半导体晶片搬入到该处理模块PMn(步骤S4),则从模块周期时间MT减去该处理模块PMn的总所需时间STn,将该时间差作为该处理模块PMn中的等待时间WTn,在晶片搬入之后经过等待时间WTn后实行过程上的步骤处理(步骤S5、S6)。但是,在模块周期时间MT与该处理模块PMn的总所需时间STn相等的情况下,也就是该总所需时间STn在群集式工具内为最大的总所需时间ST(MAX)的情况下,没有等待时间而直接开始过程处理(步骤S5′、S6)。之后,在所有步骤结束后搬出半导体晶片(步骤S7、S8)。这里,在后处理过程被编入程序的情况下,在晶片搬出后立即实行后处理,结束一次的单片处理(步骤S9)。在后处理过程未被编入程序的情况下,在晶片搬出时结束一次的单片处理(步骤S9′)。
图4表示各处理模块PMn中的模块周期时间MT的详细内容(时间表)的例子。在本实施方式中,针对并列地工作的所有的处理模块(例如PM1、PM2、...)设定相同的模块周期时间MT。
图4A表示处理模块PM1中的一片晶片的总所需时间ST1(搬入时间AT1+处理时间PT1+搬出时间BT1+后处理时间CT1)是最大总所需时间ST(MAX),ST1=MT的情况。在该情况下,在处理模块PM1中,搬入晶片之后不间隔实质上的等待时间立即开始过程上的单片处理,处理结束之后不间隔实质上的等待时间立即搬出晶片,接着就进行后处理。在其他各处理模块例如PM2中,搬入晶片之后经过等待时间WT2后开始过程上的单片处理,处理一结束立即搬出晶片,接着进行后处理。其中,该等待时间WT2由WT2=MT—ST2给出。
图4B是处理模块PM1中虽然没有后处理但是一片晶片的总所需时间ST1(搬入时间AT1+处理时间PT1+搬出时间BT1)为最大总所需时间ST(MAX),ST1=MT的情况。在该情况下,在处理模块PM1中,搬入晶片之后不间隔实质上的等待时间立即开始过程上的单片处理,处理结束之后不间隔实质上的等待时间立即搬出晶片。在其他各处理模块例如PM2中,搬入晶片之后经过等待时间WT2(WT2=MT—ST2)后开始过程上的单片处理,处理一结束立即搬出晶片,接着进行后处理。
图4C表示处理模块PM1中没有后处理,处理模块PM2中的总所需时间ST2(搬入时间AT2+处理时间PT2+搬出时间BT2+后处理时间CT2)是最大总所需时间ST(MAX),ST2=MT的情况。在该情况下,在处理模块PM1中,搬入晶片之后经过等待时间WT1(WT1=MT—ST1)后开始过程上的单片处理,处理一结束立即搬出晶片。另一方面,在处理模块PM2中,搬入晶片之后不间隔实质上的等待时间立即开始过程上的单片处理,处理一结束立即搬出晶片,接着进行后处理。
图5表示在本实施方式中将盒单位投入到装料口LP的一群晶片A逐片依次搬送到群集式工具内的多个处理模块并对各晶片A施行一系列的处理的搬送顺序的一个实施例。该搬送顺序按照模块周期时间监视程序实行。图中的斜线部分表示各部分保持、留置或搬送晶片A的有效状态的期间。格子的宽度表示一定时间(例如8~10秒)的基本单位时间T,为简化说明与图示,以基本单位时间T的整数倍来表示各部分的有效期间(斜线部分的长度)。
在本实施例中,例如在Si处理中以直列的连续成膜处理形成用于势垒金属的Ti/TiN的叠层膜,对各晶片A最初在处理模块PM1中形成Ti膜,接着在处理模块PM2中形成TiN膜。在处理模块PM1中不进行后处理,在处理模块PM2中进行后处理。其中,剩下的处理模块PM3、PM4不工作。
图中,“PM1晶片搬送”是搬送模块TM的搬送机器人RB1在第一号处理模块PM1中通过上述那样拿起和放置工作替换位于前后的两片晶片A的搬送工作,搬入时间(AT1)和搬出时间(BT1)分别为1T。但是,在相同的搬送路径上接受相同的一系列处理的一群晶片中,在搬入先头晶片时仅进行放置工作,在搬出最后的晶片时仅进行拿起工作。
“PM1处理”是处理模块PM1在该晶片A上形成Ti膜的处理,过程处理时间(PT1)为12T。不含有等待时间(WT1=0)。
“PM2晶片搬送”是搬送模块TM的搬送机器人RB1在处理模块PM2中通过上述那样拿起和放置工作替换前后两片晶片A的搬送工作,搬入时间(AT2)和搬出时间(BT2)分别为1T。但是,在该处理模块PM2中,在同一搬送路径上接受相同的一系列处理的一群晶片中,也在搬入先头晶片时仅进行放置工作,在搬出最后的晶片时仅进行拿起工作。
“PM2处理”包括处理模块PM2在该晶片A上形成TiN膜的处理与其后立即进行的后处理,进而还包括处理前过程的待机。处理时间(PT2)为9T,等待时间(WT2)为2T,后处理时间(CT2)为1T。
“LLM1晶片搬送(TM)”、”LLM2晶片搬送(TM)”是搬送模块TM的搬送机器人RB1将该晶片A搬入或搬出装料闸模块LLM1、LLM2的搬送工作,所需时间为1T。
“LLM1处理”、“LLM2处理”是在装料闸模块LLM1、LLM2中作为成膜处理的后处理的加热或冷却该晶片A的处理,所需时间还包括将室内从减压状态向大气压状态切换的时间,为7T。
“LLM1晶片搬送(LM)”、”LLM2晶片搬送(LM)”是装料模块LM的搬送机器人RB2将该晶片A搬入或搬出装料闸模块LLM1、LLM2的搬送工作,所需时间为1T。
“LLM1抽真空”、“LLM2抽真空”是将该晶片A搬入到装料闸模块LLM1、LLM2之后将室内从大气压状态切换到期望的真空度的减压状态的抽真空工作,所需时间为2T。
“臂FA上的晶片”、“臂FB上的晶片”是该晶片A保持于搬送模块TM的搬送机器人RB1的搬送臂FA、FB上的状态。
更详细地说,在图5A中,在期间t1~t3的“PM1晶片搬送”中,搬送机器人RB1访问处理模块PM1,通过拿起和放置工作,以搬送臂FB搬出处理完的晶片A05,与之交替地以搬送臂FA搬入新的或未处理的晶片A06。处理模块PM1一搬入晶片A06就在“PM1处理”中立即开始用来形成Ti膜的过程处理。
另一方面,在处理模块PM2中,对晶片A03的“PM2处理”接近尾声,在时刻t3结束。此后搬送机器人RB1立即在“PM2晶片搬送”(t3~t4)中通过拿起工作以空的搬送臂FA搬出处理完的晶片A04。在取出了晶片A04的处理模块PM2中进行后处理(t4~t5)。该后处理一结束,在“PM2晶片搬送”(t5~t6)中,搬送机器人RB1就将刚刚从处理模块PM1以搬送臂FB取出的晶片A05通过放置工作搬入。处理模块PM2一搬入晶片A05就在“PM2处理”中经过等待时间WT2(2T)后的时刻t8起开始用来形成TiN膜的过程处理。
搬送机器人RB1在期间t6~t7的“LLM2晶片搬送(TM)”中,将以搬送臂FA保持的晶片A04搬入到装料闸模块LLM2。在装料闸模块LLM2中,晶片A04一被搬入就进行“LLM2处理”(t7~t14)。该晶片A04在两个处理模块PM1、PM2中接受Ti/TiN的成膜处理。之后,在装料闸模块LLM2中“LLM2处理”一结束(时刻t14),装料模块LM的大气搬送机器人RB2立即在“LLM2晶片搬送(LM)”(t14~t15)中从装料闸模块LLM2取出晶片A04
另一方面,在装料闸模块LLM1中,在期间t9~t10的“LLM1晶片搬送(LM)”中由搬送机器人RB2搬入未处理的新的晶片A07。晶片A07一搬入,装料闸模块LLM1就立即在“LLM1抽真空”(t10~t12)中使室内成为减压状态。之后,搬送模块TM的搬送机器人RB1在抽真空后立即在“LLM1晶片搬送(TM)”(t12~t13)中通过拿起工作以空的搬送臂FB从搬送模块TM取出新的晶片A07
之后,搬送机器人RB1在以搬送臂FB保持新的晶片A07的状态下在处理模块PM1的阀门前待机。在处理模块PM1中,在时刻t15对晶片A06的“PM1处理”一结束,搬送机器人RB1就在其后的“PM1晶片搬送”(t15~t16)中通过使用空的搬送臂FA的拿起工作搬出处理完的晶片A06,接着立即在“PM1晶片搬送”(t16~t17)中,通过搬送臂FA的放置工作搬入新的晶片A07。晶片A07一搬入,处理模块PM1就从该时刻(t17)起立即在“PM1处理”中开始成膜用的过程处理。
另一方面,在处理模块PM2中,对晶片A05的“PM2处理”接近尾声,在时刻t17结束。此后搬送机器人RB1立即在“PM2晶片搬送”(t17~t18)中通过空的搬送臂FB的拿起工作搬出处理完的晶片A06。在该搬出后立即(t18~t19)在取出了晶片A05的处理模块PM2中进行后处理。该后处理一结束,搬送机器人RB1就在下一个“PM2晶片搬送”(t19~t20)中,将刚刚从处理模块PM1以搬送臂FA搬出的晶片A06搬入到处理模块PM2。晶片A06一被搬入,处理模块PM2就从“PM2处理”中经过等待时间WT2(2T)后的时刻t22起开始形成TiN膜的过程处理。
搬送机器人RB1如上所述将晶片A06搬入到处理模块PM2后立即在“LLM2晶片搬送(TM)”(t21~t22)中通过搬送臂FB的放置工作将晶片A05搬入到装料闸模块LLM1。在装料闸模块LLM1中,晶片A05一被搬入,就进行“LLM2处理”(t21~t28)。该晶片A05在两个处理模块PM1、PM2中接受Ti、TiN的成膜处理。之后,装料闸模块LLM2的“LLM2处理”一结束(t28),装料模块LM的大气搬送机器人RB2此后立即在“LLM2晶片搬送(LM)”(t28~t29)中从装料闸模块LLM1取出晶片A05
另一方面,在装料闸模块LLM2中,在期间t23~t24的“LLM2晶片搬送(LM)”中由搬送机器人RB2搬入未处理的新的晶片A08。晶片A08一搬入,装料闸模块LLM2就立即在“LLM2抽真空”(t24~t26)中使室内成为减压状态。之后,在抽真空后搬送模块TM的搬送机器人RB1立即在“LLM2晶片搬送(TM)”(t26~t27)中,通过拿起工作以空的搬送臂FA从装料闸模块LLM2取出新的晶片A08
此后,搬送机器人RB1在以搬送臂FA保持新的晶片A08的状态下在处理模块PM1的阀门前待机。之后,在时刻t29在处理模块PM1中对晶片A07的“PM1处理”一结束,搬送机器人RB1就立即在其后的“PM1晶片搬送”(t29~t30)中通过使用空的搬送臂FB的拿起工作搬出处理完的晶片A07,进而立即在其后的“PM1晶片搬送”(t30~t31)中通过搬送臂FA的放置工作搬入新的晶片A07。晶片A07一搬入,处理模块PM1就在“PM1处理”中立即开始用于形成Ti膜的过程处理。
以后也反复在各部分中进行与上述完全相同的工作。在本实施例中,在群集式工具内同时开工的所有的处理模块PM1、PM2中一片晶片的包括等待时间(WT)的总所需时间设定成相同(共同)的模块周期时间MT(14T),所有的处理模块PM1、PM2都在模块周期时间MT(14T)的周期内进行单片处理。
更详细地说,在第一号处理模块PM1中,夹着2T的附带的忙碌时间(搬入时间AT1(1T)+搬出时间BT1(1T))以14T的周期重复进行期望时间12T的过程处理(Ti成膜处理)。在第二号处理模块PM2中夹着3T的附带的忙碌时间(搬入时间AT2(1T)+搬出时间BT2(1T)+后处理时间CT2(1T))与2T的等待时间WT2以14T的周期重复进行期望时间9T的过程处理(TiN成膜处理)。对处理模块PM1、PM2中的任何一个,在一个周期(14T)内搬送机器人RB1访问的次数都是一次(以一次的拿起和放置工作完成)。此外,以流水线处理连续的两个晶片Wi、Wi+1之间的搬送周期、也就是搬送节拍为14T。
这样,在本实施例中,在群集式工具内的多个处理模块PM1、PM2中将晶片滞留时间(等待时间+处理时间)与附带的忙碌时间相加的模块周期时间MT设定为相同的长度(14T),搬送模块TM的搬送机器人RB1以与各半导体晶片循环一次相同的顺序巡回处理模块PM1、PM2,在对各处理模块的访问中搬出处理完的晶片Wi,并与之交替地搬入下一个晶片Wi+i,由此可以大幅改善搬送效率或处理模块的开工率。
与参照图6所示的参考例进行比较可以更清楚本实施例中的搬送效率或开工率的改善效果的程度。该参考例按照现有技术方式,不在群集式工具内设定共同的模块周期时间MT,使两个处理模块PM1、PM2中的晶片滞留时间分别符合过程上的处理时间PT1(12T)、PT2(9T)。而且,各处理时间PT1(12T)、PT2(9T)结束后,根据搬送模块TM的搬送机器人RB1当时的状况,也就是说兼顾其他各部分中的晶片搬送而从各处理模块PM1、PM2搬出处理完的晶片。
根据该参考例,如图6所示,例如在时刻t13内,第二号处理模块PM2中的“PM2处理”(TiN成膜处理)结束。与此相对应地搬送模块TM的搬送机器人RB1立即在其后的“PM2晶片搬送”(t13~t14)中访问第二号处理模块PM2,通过拿起工作以搬送臂FA搬出处理完的晶片A05。在取出了晶片A05的处理模块PM2中接着进行后处理(t14~t15)。此后(t15),立即在第一号处理模块PM1中完成“PM1处理”(Ti成膜处理)。因此,搬送机器人RB1访问该处理模块PM1,并以空的搬送臂FB搬出处理完的晶片A06
这里,照理(理想地),在该处理模块PM1中,有与以空的搬送臂FB搬出晶片A06交替地搬入新的晶片A07的场面。但是,另一个搬送臂FA仍然保持刚通过下游侧的处理模块PM2取出的晶片A05,无法进行新的晶片A07用的放置工作。因此,搬送机器人RB1从装料闸模块LLM2拉取之前在“LLM2晶片搬送”(t16~t17)中处理完的晶片A05之后,在“LLM1晶片搬送”(t17~t18)中通过搬送臂FA从装料闸模块LLM1取出新的晶片A07,最终在下一个“PM1晶片搬送”(t18~t19)中返回到处理模块PM1,通过搬送臂FA的放置工作搬入新的晶片A07。之后,在“PM2晶片搬送”(t19~t20)中将之前(从时刻t16起)保持于搬送臂FA的晶片A06最终搬入到第二号处理模块PM1
这样,在第一号处理模块PM1中,从搬出处理完的晶片Wi到搬入下一个新的晶片Wj夹着2T的延迟时间或停歇结果是,以16T的周期进行单片处理工作。此外,在第二号处理模块PM2中,也从对处理完的晶片Wi结束后处理时到搬入下一个(来自第一号处理模块PM1的)晶片Wj夹着2T的延迟时间或间歇,仍然以16T的周期进行单片处理工作。群集式工具内的搬送周期也就是搬送节拍为16T。
如上所述,实施例(图5)与参考例(图6)相比较,将搬送节拍或处理模块PM1、PM2的工作周期缩短2T。一般由于群集式工具进行长时间的连续处理,故搬送节拍的缩短与处理能力的大幅度提高相关联。
上述实施例(图5)是在群集式工具内仅使一部分处理模块PM1、PM2开工,不使其余的处理模块PM3、PM4开工的情况。图7表示同时使所有的处理模块PM1、PM2、PM3、PM4全都开工的第二实施例。该第二实施例并行地进行从装料口LP隔着装料模块LM将一群晶片A逐个依次搬送到群集式工具内的两台处理模块PM1、PM2,并对各晶片A实施一系列的处理(例如Ti/TiN成膜处理)的第一流水线处理,和从装料口LP隔着装料模块LM将另一群晶片B逐个依次搬送到群集式工具内的另外两台处理模块PM3、PM4,并对各晶片B实施一系列的处理(例如Ti/TiN成膜处理)的第二流水线处理。该实施例中的搬送顺序也是按照模块周期时间监视程序实行。
在本第二实施例中,实际上在上述第一实施例(图5)中追加的工作为“PM3晶片搬送”、“PM4晶片搬送”、“PM3处理”、“PM4处理”。“PM3晶片搬送”、“PM4晶片搬送”是搬送模块TM的搬送机器人RB1在处理模块PM3、PM4中通过上述那样拿起和放置工作交替位于前后的两张晶片B的搬送工作。“PM3处理”、“PM4处理”是处理模块PM3、PM4在该晶片B上形成的Ti、TiN薄膜的处理。
在本第二实施例中,在群集式工具内同时开工的所有的处理模块PM1、PM2、PM3、PM4中一片晶片的包括等待时间(WT)的总所需时间也设定为相同(共同)的模块周期时间MT(14T),所有的处理模块PM1、PM2、PM3、PM4以模块周期时间MT(14T)的周期进行单片处理工作。
更详细地说,在各流水线处理系中的第一号处理模块PM1、PM3中,夹着2T的附带的忙碌时间(搬入时间AT1(1T)+搬出时间BT1(1T))以14T的周期重复进行12T的过程处理(Ti成膜处理)。此外,在第二处理模块PM2、PM4中,夹着3T的附带的忙碌时间(搬入时间AT2(1T)+搬出时间BT2(1T)+后处理时间CT2(1T))与2T的待机时间WT2以14T的周期重复进行9T的过程处理(TiN成膜处理)。处理模块PM1、PM2、PM3、PM4的每一个都是在一个周期(14T)内搬送机器人RB1访问的次数为一次(以一次的拿起和放置工作完成)。另一种看法是,在14T的时间内四个处理模块PM1、PM2、PM3、PM4中的晶片的出入全部进行。在流水线处理中连续的两个晶片Wi、Wi+1之间的搬送周期也就是搬送节拍为14T。
如图7所示,第一流水线处理系与第二流水线处理系由于周期大致各为1/2,故处理模块PM1、PM2一圈的晶片搬入搬出工作与处理模块PM3、PM4一圈的晶片搬入搬出工作没有相互干涉。
其中,在装料模块LM与装料闸模块LLM1、LLM2之间,进行不使两个流水线处理系之间的搬送定时竞争的晶片搬送。特别是,在第流水线处理系中的所有处理模块PM1、PM2分量的附带的忙碌时间的总和∑CT(I)(CT1+CT2)与第二流水线处理系中的所有处理模块PM3、PM4分量的附带的忙碌时间的总和∑CT(II)(CT3+CT4)之差大的情况下,有必要采取适当的定时调整。例如,在∑CT(I)大于∑CT(II)时,搬送模块TM的搬送机器人RB1分配给第一流水线处理系比第二流水线处理系更多的时间。也就是说在第一流水线处理系中循环一周所需的时间比在第二流水线处理系中循环一周所需的时间长。在此情况下,在装料模块LM与装料闸模块LLM1、LLM2之间进行使对于第一流水线处理系提供新的晶片的定时稍早,相反使对于第二流水线处理系提供新的晶片的定时稍迟这样的时间调整。如果设定第一流水线处理系侧为GT(I),第二流水线处理系统为GT(II),则该时间调整幅度GT可以分别以下式(1)、(2)给出。
GT(I)=〔TM—{∑CT(I)—∑CT(II)}〕/2…(1)
GT(II)=〔TM—{∑CT(II)—∑CT(II)}〕/2…(2)
根据该第二实施例,由于与上述第一实施例实际上相同的流水线处理在两个系统中并行地进行,故处理能力成为第一实施例的两倍。所以,处理模块以外的模块,也就是搬送模块TM(搬送机器人RB1)、装料闸模块LLM1、LLM2、装料模块LM(搬送机器人RB2)以上述第一实施例的两倍的开工率工作。
例如,如图7所示,搬送模块TM的搬送机器人RB1在期间t15~t17的“PM1晶片搬送”中访问处理模块PM1,通过拿起和放置工作,以空搬送臂FB搬出晶片A06,与之交替地以搬送臂FA搬入新的晶片A07。这里,搬送臂FB在之前的“LLM1晶片搬送(TM)”(t13~t15)中将处理完的晶片B04搬入到装料闸模块LLM1而成为空(没有晶片)状态。接着,搬送机器人RB1访问相邻的处理模块PM2,通过拿起和放置工作首先在期间t17~t18的“PM2晶片搬送”中由搬送臂FA搬出处理完的晶片A06,接着夹着后处理(t18~t19)的等待时间,在期间t19~t20的“PM2晶片搬送”中由搬送臂FB搬出来自处理模块PM1的晶片A05
接着,搬送机器人RB1在期间t20~t22的“LLM2晶片搬送(TM)”中访问装料闸模块LLM2,通过拿起和放置工作,以空的搬送臂FA收取新的晶片B07,并与之交替地由搬送臂FA传递来自处理模块PM2的晶片A05
接着,搬送机器人RB1在期间t22~t24的“PM3晶片搬送”中访问处理模块PM3,通过拿起和放置工作,以空的搬送臂FA搬出处理完的晶片B06,并与之交替地以搬送臂FA搬入新的晶片B07。此后搬送机器人RB1立即访问处理模块PM4,通过拿起和放置工作,首先在t24~t25的“PM4晶片搬送”中通过空的搬送臂FB搬出处理完的晶片B05,接下来夹着后处理(t25~t26)的等待时间,在期间t26~t27的“PM2晶片搬送”中通过搬送臂FA搬入来自处理模块的晶片B06
接着,搬送机器人RB1在期间t27~t29的“LLM1晶片搬送(TM)”中访问装料闸模块LLM1,通过拿起和放置工作,以空的搬送臂FA收取新的晶片A08,并与之交替地以搬送臂FB传递来自处理模块PM4的晶片B05。此后立即在期间t29~t37的“PM1晶片搬送”中访问处理模块PM1,通过拿起和放置工作,以搬送臂FB搬出处理完的晶A07片,并与之交替地以搬送臂FA搬入未处理的晶片A08。此后也重复与上述相同的工作。
这样,搬送机器人RB1不停地工作,对周围所有的处理模块PM1、PM2、PM3、PM4、LLM1、LLM2通过拿起和放置工作访问,两个搬送臂FA、FB的每个都不间断地进行晶片的保持或搬运。
此外,在各装料闸模块LLM1、LLM2中晶片也通过拿起和放置工作频繁地出入。例如,在装料闸模块LLM2中,在期间t8~t15中一进行对晶片A04的“LLM2处理”,装料模块LM的搬送机器人RB2就在其后立即在“LLM2晶片搬送(LM)”(t15~t16)中搬出处理完的晶片AB02,并与之交替地搬入新的晶片B07。其中,在本实施例中,在装料闸模块LLM1、LLM2中来自装料模块LM侧的晶片的出入在1T的期间内进行。装料闸模块LLM2一搬入新的晶片B07,其后立即在“LLM2抽真空”(t16~t18)中使室内成为减压状态。该抽真空结束后,在“LLM2晶片搬送(TM)”(t20~t21)中通过搬送模块TM的搬送机器人RB1将新的晶片B07从装料闸模块LLM2搬出。之后,在交替地的“LLM2晶片搬送(TM)”(t21~t22)中搬送机器人RB1将将处理完的晶片A05搬入到装料闸模块LLM2。晶片A05一搬入,装料闸模块LLM2就开始“LLM2处理”(t22~t29)。之后也反复进行与上述相同的工作。对于装料闸模块LLM1也是一样。
该第二实施例使第一流水线处理与第二流水线处理的处理内容相同。但是,即使处理内容不同也可以与上述相同搬送顺序对应。例如,以第一流水线处理系的处理模块PM1、PM2中的一片晶片的总所需时间ST1、ST2分别为14T、12T,第二流水线处理系的处理模块PM3、PM4中的一片晶片的总所需时间ST3、ST4分别为13T、10T的情况为例。在此情况下,由于处理模块PM1的总所需时间ST1也为群集式工具内的最大总所需时间ST(MAX),故对所有的处理模块PM1、PM2、PM3、PM4设定为与最大总所需时间ST(MAX)相等的相同的模块周期时间MT(14T)。因此,各处理模块PM1、PM2、PM3、PM4的单片处理工作的周期全都为14T,搬送节拍也为14T。这样,根据在群集式工具内并行地开工的所有的处理模块中的过程上的总所需时间之中的最大者(最大总所需时间ST(MAX)),群集式工具内的搬送顺序被模型化或标准化,故搬送系软件的负担被减轻。
但是,在群集式工具内使批量先头的晶片A01循环一周的情况下,在搬送模块TM的搬送机器人RB1访问下游侧的处理模块例如PM2时仅进行搬入该晶片A01的放置工作就足够,在放置工作之前不需要搬出处理完的晶片的拿起工作。因而,从上游侧的处理模块PM1取出晶片A01后,可以将晶片A01直接搬入到处理模块PM2
但是,如果根据这种情况的无条件的搬送顺序,则如图8所示,处理模块PM2侧的过程处理提前开始,结果两个处理模块PM1、PM2中的“PM1处理”(t19~t31)、“PM2处理”(t20~t31)在结束时(t31)竞争。在此情况下,如图所示,例如如果使从下游侧的处理模块PM2搬出晶片A01的“PM2晶片搬送”(t31~t32)优先,则在另一个处理模块PM1侧搬出晶片A02的“PM1晶片搬送”(t32~t33)延迟,进而在装料闸模块LLM1、LLM2之间处相互交换处理完的晶片A01与新的晶片A03用的“LLM2晶片搬送(TM)”(t33~t34)、“LLM1晶片搬送(TM)”(t34~t35)也竞争,故将新的晶片A03搬入到处理模块PM1的“PM1晶片搬送”(t35~t36)相当迟。因此,处理模块PM1中的单片处理工作的周期中也加入2T量的迟延时间或间歇。因此,搬送效率降低,搬送节拍也增大。
根据本发明,例如在上述第一实施例(图5)中使批量先头的晶片A01循环一周的情况下,如图9所示,在下游侧的处理模块PM2中假定处于晶片A01之前一个的另一个晶片A00受到单片处理,对该假想晶片A00以与定常时相同的定时花费处理模块时间MT后,也就是花费假想的过程处理时间(t10~t19)、假想的搬出时间(t19~t20)和假想的后处理时间(t20~t21)后(仅推迟假想的搬出时间(t19~t20)和假想的后处理时间(t20~t21)),在“PM1晶片搬送”(t21~t22)中将批量先头的晶片A01搬入该处理模块PM2。由此,从批量先头的晶片A01起以与定常时(图5)同样的定时单片处理工作循环一周,没有上述那样模块之间的竞争,可以避免系统整体的搬送效率的降低。
如上所述,在本发明中,以与晶片A循环一周相同的顺序搬送模块TM的搬送机器人RB1巡回多个处理模块(例如PM1、PM2),在对各个处理模块的访问中搬出处理完的晶片Ai,并与之交替地搬入后续的另一个晶片Ai+1的搬送顺序为原则。根据该原则,在位于前后的晶片Ai、Ai+1之间,有后续的晶片Ai+1先从上游侧的处理模块PM1被搬出,然后晶片Ai从下游侧的处理模块PM2被搬出的关系。
但是,在上游侧的处理模块PM1中的过程处理时间PT1与下游侧的处理模块PM2中的过程处理时间PT2之间具有非常大的空隙(PT1>>PT2)的情况下,作为上述原则的例外措施,在下游侧的处理模块PM2中不设定等待时间WT2,搬送机器人RB1立即从处理模块PM2搬出处理完的晶片Ai并向装料闸模块LLM1(LLM2)移送,代之以接受新的晶片Ai+2,向上游侧的处理模块PM1移动的搬送顺序也可以。但是,在上游侧的处理模块PM1中直到晶片Ai+1的过程处理结束,搬送机器人RB1拿到新的晶片Ai+2并到达处理模块PM1的正面成为必要或适当的条件。在此情况下,成为位于前后的晶片Ai、Ai+1之间先从下游侧的处理模块PM2搬出前面的晶片Ai,然后从上游侧的处理模块PM1搬出后续的晶片Ai+1的关系。在下游侧的处理模块PM2中进行后处理的情况下,存在可以并行地进行该处理模块PM2中的后处理与搬送机器人RB1的搬送工作(PM2→LLM1(LLM2)→PM1)的优点。
在上述实施例(图5、图7、图9)中,为图示的方便以基本单位时间T的整数倍表示过程上的处理时间PT。但是,处理时间PT可以与基本单位时间T独立地设定为任意的长度。例如,在上述Ti/TiN的成膜处理中,有时将处理模块PM1中的形成Ti膜用的过程处理时间PT1设定为179秒,将处理模块PM2中的形成TiN膜用的过程处理时间PT2设定为151秒。在此情况下,如果分别将处理模块PM1中的搬入时间AT1、搬出时间BT1取为10秒,分别将处理模块PM2中的搬入时间AT2、搬出时间BT2和后处理时间CT2取为10秒,则处理模块PM1中的一片晶片的总所需时间ST1为PT1+AT1+BT1=179+10+10=199秒,处理模块PM2中的一片晶片的总所需时间ST2为PT1+AT1+BT1+CT1=151+10+10+10=181秒,最大总所需时间ST(MAX)为ST1的199秒。因而,两个处理模块PM1、PM2的共同的模块周期时间MT可以设定为199秒以上(优选199秒)。其中,在将模块周期时间MT设定为199秒的情况下,处理模块PM2中的等待时间WT2为WT2=199—181=18秒。
此外,关于处理内容,上述实施例的Ti/TiN成膜处理是一个例子,该群集式工具适于各种直列的连续处理。
例如,预清理工序与iPVD工序的直列连续处理也可以。预清理工序是在沉积或成膜前清理被处理基板的表面的工序。被处理基板的表面如果氧化,则材料的电气特性显著地变质进而关联性能劣化。因此,基板在接受通过物理蒸镀法或化学蒸镀法对成膜装置的一次处理之前,优选通过前处理的清理也就是预清理工序去除表面氧化物(主要是二氧化硅或金属氧化物)。特别是,对于使钨、铝或铜之类的金属导体堆积的挖沟(trench)、接触或势垒层的基板表面层,有必要在堆积层之间可以确保极低的界面电阻地通过预清理工序清洗。
iPVD(ionized Physical Vapor Deposition:离子物理气相沉积)或物理气相生长法是使溅射粒子电离而形成阶梯覆盖性良好的薄膜的成膜法。iPVD的高指向性,通过从靶溅射的金属粒子在等离子体中被电离,该金属离子在基板表面的护层内被加速,垂直地入射到基板的处理实现。
对于该实施方式的群集式工具,处理模块PM1、PM2、PM3、PM4的任何两个可以充当预清理腔室与iPVD腔室。例如,在用溅射装置(iPVD装置)连续地进行配线工序的Cu薄膜的埋入与势垒金属的堆积的Cu综合工序中,首先通过蚀刻在绝缘层上形成小孔后,通过iPVD在Cu层上形成Cu势垒层(TaN/Ta)之前在预清理腔室中蚀刻Cu的表面氧化层或表面清理,削除杂质基底层,露出下层的Cu层。之后,在没有形成氧化膜时在真空气氛中将被处理基板移送到iPVD腔室,通过iPVD形成上述Cu势垒层(TaN/Ta)。根据本发明,由于可以不设置等待时间地将在预清理腔室(第一号处理模块)中刚刚结束预清理处理的被处理基板在真空气氛中直接移送到iPVD腔室(第二号处理模块),故可以防止不希望的氧化膜的形成。
对于上述这种Cu综合工序的处理时间,将预清理设定为80秒,iPVD设定为120秒是最佳模式。在此情况下,如果预清理腔室(处理模块PM1)和iPVD腔室(处理模块PM2)的任何一个都不进行后处理,将两个腔室PM1、PM2的搬入时间AT1、AT2和搬出时间BT1、BT2全都取作10秒,则预清理腔室PM1中的一片晶片的总所需时间ST1为PT1+AT1+BT1=80+10+10=100秒,iPVD腔室PM2中的一片晶片的总所需时间ST2为PT2+AT2+BT2=120+10+10=140秒,最大总所需时间ST(MAX)为ST2的140秒。因此,将对两个腔室PM1、PM2共同的模块周期时间MT设定为140秒以上(优选140秒)即可。
作为直列连续处理的另一个例子,是UVO(Ultraviolet Oxidation:紫外线氧化)工序与MOCVD(Metal Organic Chemical Vapor Deposition:金属有机化学气相沉积)工序的组合。由于细微化的进展,近来MOS器件的门绝缘膜需要相当于氧化硅膜中1nm以下的膜厚。这相当于3~4原子层的厚度。如果成为这种极薄的膜厚,则由于通过隧道电流的增大,掺杂于门电极的元素的扩散,可靠性的降低等,不能使用氧化硅膜,所以有必要用介电率高的膜(所谓high-k膜)。作为这种high-k膜,优选ZrO2、HfO2等迁移金属氧化膜、La2O3等稀土类氧化物和它们的硅酸盐等,可以通过MOCVD法形成。但是,由于在这些高介电率膜与Si基板之间形成由硅酸盐组成的组成迁移层,或在硅酸盐层与Si基板之间形成由Si的中间氧化状态构成的组成迁移层,所以为防止这些有必要事先通过UVO处理形成作为氧化膜防止层的SiO2层。此外,该氧化膜防止层通过夹在硅酸盐层与Si基板之间还具有防止器件特性的劣化,也就是防止移动度的降低的效果。
在此情况下,在本实施方式的群集式工具中,处理模块PM1、PM2、PM3、PM4中的任何两个都可以充当UVO腔室与MOCVD腔室。UVO腔室通过紫外线灯例如波长190~380nm的紫外线照射,并引入规定量的O2通过紫外线激励生成氧自由基,由生成的氧自由基在硅基板的表面上形成大致0.5nm的SiO2层。将该0.5nm的SiO2层在真空气氛中移送到MOCVD腔室,在MOCVD腔室中形成上述high-k膜。此时,原料气体在加热到400~600℃的被处理基板上分解,在基板上生长薄膜。该连续成膜的处理时间以将UVO设定成300秒,将MOCVD设定成343秒为最佳模式。在此情况下,UVO腔室和MOCVD腔室的任何一个都不进行后处理,两个腔室中的搬入时间和搬出时间全都取作10秒,则最大总所需时间ST(MAX)为MOCVD腔室侧的总所需时间(363秒)。因而,可以将两个腔室PM1、PM2共同的模块周期时间MT设定为363秒以上(优选363秒)。
其中,关于晶片滞留时间ST的详细内容(时间划分),以在上述实施方式中模块周期时间MT与该处理模块PMn中的一片晶片的总处理时间PTn之差作为等待时间WTn置于过程处理时间PTn之前,将晶片搬入该处理模块之后经过等待时间WTn后开始过程处理。但是,可以在晶片滞留时间ST内任意地分派等待时间WTn,例如也可以在过程处理时间PTn之后设定,在该处理模块内结束过程处理之后经过等待时间WTn后搬出晶片。
此外,本发明的群集式工具不限定于上述实施方式的装置构成(图1),关于布局或各部分的构成等可以有各种的变形。例如,如图10所示,也可以在水平方向上延长搬送模块TM,增加能够连接于搬送模块TM也就是在群集式工具内能够工作的处理模块的台数的群集式工具的构成(图10的例子为6台)。在该构成例中,在搬送模块TM内铺设在纵长方向上延伸的两个导轨10,搬送机器人RB1具有能够在导轨10上直线移动的滑块12。该搬送机器人RB1具有在相互离开为锐角(例如60°)的两个方向上能够伸缩的一对搬送臂FA、FB,在对各模块通过拿起和放置工作使两个搬送臂FA、FB交互地出入时等具有很小的旋转角度就足够的特长。
本发明的群集式工具不限定于上述实施方式的真空系统的处理系统,一部分或整体也能够运用于大气系统的处理系统。被处理体也不限定于半导体晶片,也可以是平板显示器用的各种基板,或光学掩模、CD基板、印制基板等。

Claims (6)

1.一种处理系统,是在搬送机构的周围配置所述搬送机构能够访问的多个处理模块,通过所述搬送机构将一群被处理体逐个依次搬送到所述多个处理模块,并对各被处理体实施一系列处理的群集式工具的处理系统,其特征在于:
对于所述多个处理模块,将一片被处理体滞留于模块内的滞留时间与在该滞留的前后被该被处理体占用模块的功能的附带的忙碌时间相加的模块周期时间设定为相同的长度,
所述搬送机构以与各被处理体循环一次相同的顺序巡回所述多个处理模块,在对各个所述处理模块的访问中搬出处理完的被处理体并与之交替地搬入后续的另一片被处理体,
在所述一群被处理体中的先头的被处理体在所述多个处理模块之间循环一次时,在除去所述被处理体第一次被搬送至的处理模块的各处理模块中,对假想的被处理体以规定的定时花费所述模块周期时间之后,所述搬送机构将所述先头的被处理体搬入该处理模块。
2.如权利要求1所述的处理系统,其特征在于:
所述附带的忙碌时间包括所述搬送机构将被处理体搬入各处理模块所需的搬入时间,和所述搬送机构从各处理模块搬出被处理体所需的搬出时间。
3.如权利要求2所述的处理系统,其特征在于:
在从各处理模块搬出被处理体之后立即在所述处理模块中进行后处理、在该后处理中被处理体无法搬入的情况下,所述后处理所需的时间包含于所述附带的忙碌时间。
4.如权利要求1所述的处理系统,其特征在于:
以在所述多个处理模块中将一片被处理体的真实处理时间与所述附带的忙碌时间相加的总所需时间最大的处理模块作为基准,将该最大总所需时间取作所述模块周期时间。
5.如权利要求1~4中任一项所述的处理系统,其特征在于:
在所述多个处理模块中将一片被处理体的真实处理时间与所述附带的忙碌时间相加的总所需时间短于所述模块周期时间的处理模块中,搬入各被处理体之后经过等于所述模块周期时间与该总所需时间之差的等待时间后开始处理。
6.如权利要求1~4中任一项所述的处理系统,其特征在于:
在所述多个处理模块中将一片被处理体的真实处理时间与所述附带的忙碌时间相加的总所需时间短于所述模块周期时间的处理模块中,在对各被处理体的处理结束之后经过等于所述模块周期时间与该总所需时间之差的等待时间后搬出该被处理体。
CNB2006100003857A 2005-01-07 2006-01-06 群集式工具的处理系统和模块周期时间监视程序 Active CN100514548C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005002828 2005-01-07
JP2005002828A JP4353903B2 (ja) 2005-01-07 2005-01-07 クラスタツールの処理システム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2009101421115A Division CN101577220B (zh) 2005-01-07 2006-01-06 群集式工具的被处理体的处理方法

Publications (2)

Publication Number Publication Date
CN1812051A CN1812051A (zh) 2006-08-02
CN100514548C true CN100514548C (zh) 2009-07-15

Family

ID=36654292

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009101421115A Active CN101577220B (zh) 2005-01-07 2006-01-06 群集式工具的被处理体的处理方法
CNB2006100003857A Active CN100514548C (zh) 2005-01-07 2006-01-06 群集式工具的处理系统和模块周期时间监视程序

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2009101421115A Active CN101577220B (zh) 2005-01-07 2006-01-06 群集式工具的被处理体的处理方法

Country Status (5)

Country Link
US (1) US7630785B2 (zh)
JP (1) JP4353903B2 (zh)
KR (1) KR100786403B1 (zh)
CN (2) CN101577220B (zh)
TW (1) TWI350240B (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8027857B2 (en) 2006-03-14 2011-09-27 Sap Ag Rough-cut manufacturing operations for use in planning
US20070219929A1 (en) * 2006-03-14 2007-09-20 Jochen Steinbach Planning granularity in manufacturing computing systems
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
US7551975B2 (en) * 2006-12-21 2009-06-23 Sap Ag Consistency checking and repair of manufacturing operation groupings to be aggregated for use in planning
US7617015B2 (en) * 2006-12-21 2009-11-10 Sap Ag Generating planning-level time and capacity requirement formulas for manufacturing processes
US7894922B2 (en) * 2006-12-21 2011-02-22 Sap Ag Structural transformation of execution-level manufacturing process routings into planning-level routings
JP2008173744A (ja) * 2007-01-22 2008-07-31 Tokyo Electron Ltd 搬送システムの搬送位置合わせ方法
US8731706B2 (en) * 2008-09-12 2014-05-20 Hitachi High-Technologies Corporation Vacuum processing apparatus
JP5139253B2 (ja) 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
JP5359285B2 (ja) * 2009-01-07 2013-12-04 東京エレクトロン株式会社 処理装置及び処理装置の運転方法
CN101642909A (zh) * 2009-06-26 2010-02-10 东莞宏威数码机械有限公司 多级机械传输模块用腔体
JP5474602B2 (ja) * 2010-02-18 2014-04-16 株式会社カネカ 太陽電池の製造装置及び太陽電池の製造方法
CN102947481A (zh) * 2010-06-21 2013-02-27 株式会社爱发科 基板反转装置、真空成膜装置以及基板反转方法
RU2013144571A (ru) 2011-03-04 2015-04-10 Ньюджен Терапьютикс, Инк. Алинзамещенные хиназолины и способы их применения
WO2012155339A1 (zh) 2011-05-17 2012-11-22 江苏康缘药业股份有限公司 4-苯胺-6-丁烯酰胺-7-烷醚喹唑啉衍生物及其制备方法和用途
JP5813389B2 (ja) * 2011-06-24 2015-11-17 東京エレクトロン株式会社 基板処理時間設定方法及び記憶媒体
JP2013045817A (ja) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
JP6049394B2 (ja) 2012-10-22 2016-12-21 東京エレクトロン株式会社 基板処理システム及び基板の搬送制御方法
JP6094148B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 基板処理装置
JP2014120520A (ja) * 2012-12-13 2014-06-30 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
CN103439893B (zh) * 2013-08-16 2016-04-27 上海华力微电子有限公司 设备装载端口的预约使用控制方法
KR101393619B1 (ko) * 2014-02-11 2014-05-09 최광수 배출구가 이중으로 구성된 컨테이너 백용 내피 및 그를 포함한 컨테이너 백
JP6297001B2 (ja) * 2014-03-19 2018-03-20 キヤノン株式会社 リソグラフィ装置、リソグラフィ方法、リソグラフィシステム、プログラム、および物品の製造方法
JP6338989B2 (ja) * 2014-09-19 2018-06-06 東京エレクトロン株式会社 基板搬送方法
US9632499B2 (en) * 2014-11-03 2017-04-25 GlobalFoundries, Inc. Work-in-progress substrate processing methods and systems for use in the fabrication of integrated circuits
JP6256388B2 (ja) 2015-03-10 2018-01-10 トヨタ自動車株式会社 ワークの処理システムと処理方法
DE102015211941A1 (de) * 2015-06-26 2016-12-29 Zf Friedrichshafen Ag Verfahren und Vorrichtung zur Reduzierung eines Energiebedarfs einer Werkzeugmaschine und Werkzeugmaschinensystem
EP4167547A1 (en) * 2015-12-11 2023-04-19 Umbra Technologies Ltd. System and method for information slingshot over a network tapestry and granularity of a tick
JP6697984B2 (ja) * 2016-08-31 2020-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP6533199B2 (ja) * 2016-09-14 2019-06-19 Dmg森精機株式会社 ワーク処理システム
JP2019062138A (ja) * 2017-09-28 2019-04-18 東京エレクトロン株式会社 検査システムおよび検査方法
JP7149748B2 (ja) * 2018-07-04 2022-10-07 東京エレクトロン株式会社 基板処理システム、基板搬送方法、および制御プログラム
US11081358B2 (en) * 2018-07-05 2021-08-03 Applied Materials, Inc. Silicide film nucleation
KR102554053B1 (ko) * 2018-07-06 2023-07-11 카와사키 주코교 카부시키 카이샤 기판 반송 로봇 및 그 제어 방법
JP7175151B2 (ja) 2018-09-28 2022-11-18 東京エレクトロン株式会社 搬送方法
US11899417B2 (en) 2019-05-02 2024-02-13 Fisher-Rosemount Systems, Inc. Methods and apparatus to implement predictive analytics for continuous control system processes
KR20220113421A (ko) * 2019-12-06 2022-08-12 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
CN113380680A (zh) * 2020-03-10 2021-09-10 上海临港凯世通半导体有限公司 硅片输运装置
JP2022052165A (ja) * 2020-09-23 2022-04-04 東京エレクトロン株式会社 半導体製造装置、基板搬送方法及びプログラム

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2638668B2 (ja) 1990-09-03 1997-08-06 大日本スクリーン製造株式会社 基板搬送方法および基板搬送装置
JPH053174A (ja) 1991-06-26 1993-01-08 Fujitsu Ltd 半導体装置の製造方法
JP2994553B2 (ja) * 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 基板処理装置
KR0132111Y1 (ko) * 1994-12-09 1999-02-01 김주용 노광 공정을 위한 웨이퍼 로더부의 아암 충돌 방지장치
JP3734295B2 (ja) * 1995-09-04 2006-01-11 大日本スクリーン製造株式会社 基板搬送装置
JP3600692B2 (ja) * 1996-07-05 2004-12-15 大日本スクリーン製造株式会社 基板処理装置
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
JP3988805B2 (ja) * 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 基板搬送方法及びその装置
JP4674705B2 (ja) 1998-10-27 2011-04-20 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び搬送システム
JP2000150619A (ja) 1999-01-01 2000-05-30 Kokusai Electric Co Ltd 基板処理装置
JP2002184671A (ja) * 2000-12-14 2002-06-28 Tokyo Electron Ltd 基板処理システム及び基板処理方法

Also Published As

Publication number Publication date
JP2006190894A (ja) 2006-07-20
CN1812051A (zh) 2006-08-02
US7630785B2 (en) 2009-12-08
TW200633825A (en) 2006-10-01
CN101577220B (zh) 2013-05-08
JP4353903B2 (ja) 2009-10-28
KR100786403B1 (ko) 2007-12-17
US20060155412A1 (en) 2006-07-13
TWI350240B (en) 2011-10-11
CN101577220A (zh) 2009-11-11
KR20060081377A (ko) 2006-07-12

Similar Documents

Publication Publication Date Title
CN100514548C (zh) 群集式工具的处理系统和模块周期时间监视程序
CN100511628C (zh) 基板处理装置的控制方法
JP2008520837A (ja) ウエハファブ
US9147592B2 (en) Linked vacuum processing tools and methods of using the same
US20080171435A1 (en) Vacuum Processing Apparatus, Method for Manufacturing Semiconductor Device, and System For Manufacturing Semiconductor Device
JP6131320B2 (ja) 基板処理装置、プログラム及び半導体装置の製造方法
WO2007011666A2 (en) Method and apparatus for semiconductor processing
JP2007129177A (ja) 処理システム
WO1999028222A1 (en) Apparatus and method for transporting substrates
KR20140077829A (ko) 기판 처리 장치
US20140277668A1 (en) Methods and systems for fabricating integrated circuits utilizing universal and local processing management
US6328768B1 (en) Semiconductor device manufacturing line
WO2020161873A1 (ja) 真空処理装置の運転方法
US9008833B2 (en) Dynamic routing control methods and systems for a cluster tool
US11289369B2 (en) Low-k dielectric with self-forming barrier layer
US20220090861A1 (en) Substrate treating apparatus and substrate treating system comprising the same
WO2022065077A1 (ja) 搬送方法及び処理システム
JP2000091398A (ja) 基板処理装置
JP2006222328A (ja) 基板処理装置
JPH01120811A (ja) 半導体ウエハ処理装置
JP2005252105A (ja) 基板処理装置
JP4587815B2 (ja) 基板処理システム、基板処理システムの制御方法、及び基板処理方法
JPH0466119A (ja) 真空処理方法及び装置
KR20200104549A (ko) 자동 물류 원자층 증착 시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant