CN100504598C - High-resolution overlay alignment methods and systems for imprint lithography - Google Patents

High-resolution overlay alignment methods and systems for imprint lithography Download PDF

Info

Publication number
CN100504598C
CN100504598C CNB018155057A CN01815505A CN100504598C CN 100504598 C CN100504598 C CN 100504598C CN B018155057 A CNB018155057 A CN B018155057A CN 01815505 A CN01815505 A CN 01815505A CN 100504598 C CN100504598 C CN 100504598C
Authority
CN
China
Prior art keywords
template
substrate
pattern
liquid
alignment mark
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB018155057A
Other languages
Chinese (zh)
Other versions
CN1476551A (en
Inventor
B·J·周
M·科尔博恩
S·V·斯瑞尼瓦萨恩
T·百利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of CN1476551A publication Critical patent/CN1476551A/en
Application granted granted Critical
Publication of CN100504598C publication Critical patent/CN100504598C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0888Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/005Compensating volume or shape change during moulding, in general
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0053Moulding articles characterised by the shape of the surface, e.g. ribs, high polish
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0827Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S101/00Printing
    • Y10S101/36Means for registering or alignment of print plates on print press structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Abstract

A method of determining and correcting alignment during imprint lithography process is described. During an imprint lithographic process the template may be aligned with the substrate by the use of alignment marks disposed on both the template and substrate. The alignment may be determined and corrected for before the layer is processed.

Description

The high-resolution overlay alignment schemes and the system that are used for imprint lithography
Technical field
The present invention relates to be used for the method and system of the high-resolution overlay alignment of imprint lithography.
Background technology
Lithography be one can be on substrate printed dimensions less than the technology of the feature of 50nm.Lithography has the photoetching of replacement and makes a semi-conductive selection as being used on the following area of 100nm.Several imprint lithographies have been introduced in the nineties.Yet the great majority in them all have limitation, make them can not really substitute photoetching.The limitation of these prior aries comprises, for example high temperature variation needs high pressure and uses Elastic forming board.
In recent years, imprint lithography can be used on quartz template high resolution design is transferred to substrate surface under the condition of room temperature and low pressure.In Step and Flash lithography (SFIL) technology, under the situation that the optical solidified liquid material exists, with rigidity quartz template and substrate surface indirect contact.This fluent material is solidified and the pattern on the template is printed onto on the liquid of curing by making to use up.
Use rigidity and transparent template can be implemented the high-resolution overlay as the part of SFIL technology.Simultaneously, application can be passed through the minimized undesirable layer of distortion of low viscosity liquid material production of ultraviolet curing process under low pressure and room temperature condition.This distortion can cause overlapping alignment to be difficult to carry out.
Overlapping alignment scheme typically is included in the measurement of alignment error between template and the substrate, reaches accurate alignment by compensating these errors afterwards.The measuring technique that is used for contiguous lithography, X-line lithography and photoetching (such as laser interferometry art, capacitive sensing, the automated image processing etc. of overlapping mark on mask and substrate etc.) can be used for imprint lithography through suitably improving.Compensation technique follows the characteristic aspect development of imprint lithography closely always.
Typically need the aliasing error of compensation to comprise site error, θ sum of errors magnification error.In recent years, along with the minimum feature of photoetching process continues to dwindle, overlapping measuring technique was significantly improved.Yet these technology can not directly apply to imprint lithography.
Summary of the invention
Embodiment described herein comprises the method and system that can be used for the overlapping alignment scheme in the imprint lithography.
In general, can finish by on substrate, using light curable liquid in formation method of patterning on the substrate.Light curable liquid can comprise the liquid of any use photocuring.Typical photocurable composition is the composition that chemical change can take place when light exists.Can induce the light of chemical change to comprise ultraviolet light (for example having the light of about 300nm), actinic light, visible light, infrared light and such as the radiation source of electron beam and X line source to about 400nm wavelength.Can be with a lot of formal proof chemical changes.Chemical change can include, but are not limited to cause any chemical reaction of polymerization generation.In some embodiments, chemical change causes the formation that forms initiator type in the lens composition, and described initiator type can cause chemical polymerization.
In one embodiment, photocurable composition can be the photoresistance composition.The photoresistance composition comprises any composition that is exposed to UV light curable.The photoresistance composition is characterised in that only has the composition part that is exposed under the light (for example ultraviolet light) can carry out photochemical reaction.The various photoresistance compositions that are generally used for semi-conductor industry can use.In one embodiment, photocurable composition comprises the acidylate monomer.
In most of photoetching processes, photoresist typically has high viscosity (greater than about 20 centipoises (cps)).In lithography, use high viscosity liquid to make and be difficult to produce the following structure of 100nm.Have been found that low viscosity liquid produces accurate many duplicate of the following structure of 100nm.In one embodiment, light curable liquid can have and is lower than about 20cps, preferably is lower than about 10cps, more preferably less than the viscosity of about 5cps.
After being applied to light curable liquid on the substrate, pattern template is directed on the part of using the substrate that light curable liquid is arranged on it.In semiconductor technology, can on single substrate, form a plurality of semiconductor devices.Every single semiconductor device can be formed by multilayer.The layer that these layers can overlap to form is in front gone up the order cambium layer.Because the little feature dimension of discrete component of semiconductor device, each layer is very crucial for the suitable function of semiconductor device with aliging of other layer.Here described and be used to method and system that pattern template is alignd with on-chip precalculated position.
The invention provides a kind of use the figuratum template of solidifying the light substantial transparent is formed method of patterning on substrate, it comprises: light curable liquid is applied on the part of substrate, wherein light curable liquid is solidifying the situation curable that light exists; Locate figuratum template and substrate, make figuratum template contact at least a portion place on-chip liquid, wherein figuratum template comprises the template alignment mark, and substrate comprises the substrate alignment mark; Determine the alignment of template alignment mark with respect to the substrate alignment mark; Adjust the lap position of figuratum template with respect to substrate, make template alignment mark and substrate alignment mark align fully; Adjust the space between figuratum template and the substrate, make the liquid of using fill up the gap between figuratum template and the substrate fully, and gap wherein is even basically; Use curing light and arrive liquid by template, this liquid is solidified in the application of wherein solidifying light fully, and wherein the pattern of figuratum template forms in solidified liquid; With separate figuratum template from solidified liquid.
The present invention also provides a kind of use that the figuratum template of solidifying the light substantial transparent is formed method of patterning on substrate, it comprises: light curable liquid is applied on the part of substrate, wherein light curable liquid is solidifying the situation curable that light exists; Locate figuratum template and substrate, make the liquid of using fill up the gap between figuratum template and the substrate fully; Determine the alignment of template alignment mark with respect to the substrate alignment mark; Adjust the lap position of figuratum template with respect to substrate, make template alignment mark and substrate alignment mark align fully; Use curing light and arrive liquid by template, wherein this liquid is solidified in the application of active light fully, and wherein the pattern of figuratum template forms in solidified liquid; With separate figuratum template from solidified liquid.
The present invention should provide a kind of use the figuratum template of solidifying the light substantial transparent to be formed the method for repeat patterns on substrate, it comprises: light curable liquid is applied in the first of substrate, wherein light curable liquid is solidifying the situation curable that light exists; Locate the first of figuratum template and substrate, make figuratum template contact at least a portion place on-chip liquid, wherein figuratum template comprises the template alignment mark, and the first of substrate comprises the substrate alignment mark; Determine the alignment of template alignment mark with respect to the substrate alignment mark; Adjust the lap position of figuratum template with respect to the first of substrate, make template alignment mark and substrate alignment mark align fully; Define the calibration lap position of pattern template with respect to substrate; Adjust the space between the first of figuratum template and substrate, make the liquid of using fill up the gap between figuratum template and the substrate fully; Application of active light arrives the liquid be positioned in the substrate first by template, and the liquid in this substrate first is solidified in the application of wherein solidifying light fully, and wherein the pattern of figuratum template forms in the liquid that solidifies; Separate figuratum template with the solidified liquid from substrate first, make figuratum solidified liquid be formed in the first of substrate; Using liquid is to the second portion of substrate; Locating template on the second portion of substrate; Readjust the lap position of figuratum template with respect to the second portion of substrate, directed with the coupling calibration; Adjustment has the space between pattern template and the substrate second portion, makes the liquid of using fill up the gap between pattern template and the substrate basically; Application of active light arrives the liquid be positioned on the substrate second portion by template, and the liquid on this substrate second portion is solidified in the application of wherein solidifying light fully, and wherein the pattern of figuratum template forms in the liquid that solidifies; With separate figuratum template from figuratum solidified liquid, make figuratum solidified liquid be formed on the second portion of substrate.
The present invention also provides a kind of system that uses figuratum template to form pattern on the substrate with substrate alignment mark, and it comprises: top frame; Orientation device, this orientation device comprises: first flexible member, wherein first flexible member is configured in use around the rotation of the first orientation axes pivot; Second flexible member is connected with first flexible member, and wherein second flexible member is configured to do the pivot rotation around second orientation axes in use; And support, being connected with second flexible member, its medium-height trestle is formed at and supports figuratum template when using; Wherein second flexible member is connected to first flexible member, makes during use, in the time of on being positioned at support, has pattern template to center on the support motion that first and second orientation axes are intersected; Be positioned at the figuratum template on the support; Be configured to the chip bench that supporting substrate is used, wherein this is positioned at the below of orientation device, and wherein chip bench and orientation device are constructed such that relatively moving along the plane that is arranged essentially parallel to figuratum template and substrate between substrate and the template; Be connected to measurement mechanism on the template with optics, comprise: be configured to during use illuminator the template alignment mark of photoconduction on template; Be connected to illuminator with optics and be configured to detect from template and be positioned at the detection system of the light that the substrate on the chip bench propagates, chip bench and orientation device are configured in response to the Signal Regulation that detection system produces lap position between pattern template and the substrate is arranged, to realize the basic alignment between template alignment mark and the substrate.
The present invention also provides a kind of use that the figuratum template of solidifying the light substantial transparent is formed method of patterning on substrate, it comprises: light curable liquid is applied on the part of substrate, wherein light curable liquid is solidifying the situation curable that light exists; Adjust the space between figuratum template and the substrate, make the liquid of using fill up the gap between figuratum template and the substrate fully, wherein figuratum template comprises template alignment mark and alignment pattern, and substrate comprises the substrate alignment mark; The described alignment pattern of aliging and described substrate alignment mark; Use to solidify light and arrive liquid by template, this liquid is solidified in the application of wherein solidifying light fully, and described alignment pattern is forming an additional substrate alignment mark basically with in the locational solidified liquid of substrate alignment label alignment; With separate figuratum template from solidified liquid.
The present invention also provide a kind of align substrate and with the method that pattern template is arranged of described dice spaced, be filled with fluent material in the wherein said interval, the substrate alignment mark is arranged above the described substrate, the template alignment mark is arranged on the described template, described method comprises: adjust the distance between described figuratum template and the described substrate, make described material not only contact described figuratum template but also contact described substrate, limit a contact area; With the lap position that changes described figuratum template with respect to described substrate, make described template alignment mark and described substrate alignment mark substantial alignment and wherein have spacing, the viscosity relevant according to described material, to reduce the resistance of motion that causes owing to described properties of materials between described figuratum template and described substrate, described characteristic comprises static friction.
In one embodiment, pattern template can comprise the template alignment mark.Substrate can comprise the substrate alignment mark.After pattern template is positioned on the substrate, determine the alignment of template alignment mark with respect to the substrate alignment mark.If the template alignment mark does not have and the substrate alignment label alignment, then the direction of pattern template can change up to this mark substantial alignment with respect to substrate.Can compensate template alignment mark and substrate alignment with respect to the template mobile substrate and be marked at the error that the skew on the X-Y direction forms.This can support platform to finish at the substrate that moves of X-Y direction by being configured to control substrate.Can correct the θ error by the angle that changes template with respect to substrate.Can correct the magnification error by the physical size that changes template.
Can on template and/or substrate, form a plurality of alignment marks.In some embodiments, can form alignment mark by a part on template with predetermined pattern etching template.Perhaps, can on template, form the film of second kind of material.Second kind of material can be deposited in the pattern with the complementation of substrate alignment mark.This second kind of material can be light tight, yet the pattern of template alignment mark can reproduce in on-chip solidified liquid.Perhaps, second kind of material can be to being used to solidify the light wavelength substantial transparent of light curable liquid.Yet this second kind of material can have different optical characteristics at the non-curing wavelength place of light.When the operational analysis instrument is analyzed at the non-curing wavelength place of light, can detect alignment mark at an easy rate.Yet during curing, alignment mark is for curing light substantial transparent, and the liquid under alignment mark can be cured basically.In one embodiment, second of deposition kind of material is Si 2O 3In another embodiment, can produce the template alignment mark by the etching template.The template alignment mark can be formed by a series of common parallel lines as diffraction grating.When determining the alignment of template alignment mark, can be easy to observe diffraction grating template alignment mark with respect to the substrate alignment mark.Yet, during curing, because the spacing of etched line is relatively large with respect to the light wavelength that is used to solidify, so diffraction grating template alignment mark can be basically for solidifying optical transparency.
Can use multiple detection method to determine aliging of template alignment mark and substrate alignment mark.In one embodiment, the image of template and on-chip alignment mark is focused the unified plane of delineation.This focusing can be finished to separate the image that focuses on from blurred picture with image processing techniques by the radiation source that uses two different wave lengths.Perhaps, can make the image focusing of template and on-chip alignment mark to the identical image plane at the different lighting sources that have polarization and polarization array on the template surface by using.In another approach, can use alignment error measuring technique based on wave pattern.In the alignment based on wave pattern, measuring the gap of being got can be as much as possible little of the degree that template and substrate are not contacted, so that minimize the two-layer focus issues of wave pattern.Can use the high resolving power (below the 100nm) in the gap on whole template-substrate interface to measure and ACTIVE CONTROL.Can unite above-mentioned alignment mark and use any in these detection methods.
In some embodiments, before definite template and substrate alignment mark, the gap between pattern template and the substrate can be filled fully.In other embodiments, after the gap between pattern template and the substrate fully being full of, may be difficult to see the alignment mark of substrate and/or pattern template with liquid.In another embodiment, can liquid be arranged on the substrate with predetermined pattern.When template contacted with liquid, only some template contacted with template.Be applied to the position of alignment mark on the pattern of on-chip liquid and the substrate by control, Template Location is contacted with liquid, make to comprise that a part of template of alignment mark does not contact with liquid.By this way, not the interference of liquid body with template alignment mark and substrate alignment label alignment.Behind template alignment mark and substrate alignment label alignment, pattern template can be located with respect to substrate, makes that the gap between pattern template and the substrate is full of fully.
Perhaps, when template contacted with liquid, only some template can contact with template.Be applied to the position of alignment mark on the pattern of on-chip liquid and the substrate by control, Template Location is contacted with liquid, make to comprise that a part of template of alignment mark does not contact with liquid.By this way, not the interference of liquid body with template alignment mark and substrate alignment label alignment.After initial contact of template and liquid, the pattern of liquid will be expanded below template, fully is full of gap between template and the substrate up to liquid.Along with liquid is full of this gap, can finish the alignment of template alignment mark and substrate alignment mark.
In some embodiments, may need that template is carried out the magnification error and correct, this magnification error is to cause on substrate in the processing by front layer.When the template of the processing that is used for front layer and photoetching adjustment not at that time, the magnification error may take place.These marks or template may be excessive or too small during processing, and cause these layers bigger or little than what expect.Typical this error should be in about 1-5nm magnitude range.For compensation magnification error, a template regulating device can be connected on the support, this support is constructed and is used for supporting in use template.The template regulating device can be configured to regulate in use the size of template.By applying power or changing the temperature of template, can make template amplify or dwindle.Amplify or dwindle template recoverable magnification error.
After the alignment of finishing template alignment mark and substrate alignment mark, can finish processing.Can be applied on the light curable liquid solidifying light.Solidify light and cause that liquid is partly solidified at least.After liquid is partly solidified at least, template can be taken out, at this moment the liquid of Gu Huaing will comprise and the structure that etches into the pattern complementation on the template.
Above-mentioned alignment schemes can be used for local alignment processing or overall situation alignment processing.Local alignment processing is used in a plurality of semiconductor devices of processing on the substrate.On first semiconductor device, form after the patterned layer, can form the identical patterns layer of second semiconductor device with pattern template.Substrate can be moved to a new position to process second semiconductor device.In local alignment processing, after substrate moves to a reposition, can use template and on-chip alignment mark that template and substrate are alignd again.By this way, can guarantee the alignment of each semiconductor device of producing.
It may be a processing consuming time that use is alignd respectively to each semiconductor device of producing on substrate.In order to minimize the processing time-delay, can use overall registration process.Overall situation registration process uses the initial alignment estimation to allow handling attachment device under the situation of further not aliging.In one embodiment, template can be carried out in the first of this substrate with aliging of substrate.After template and substrate alignment, can use above-mentioned lithographic process to form embossed layers.Can be called " calibration directed " about substrate to being positioned at here of carrying out of template in order to realize correct alignment.Calibration directed can be by being conceived to substrate a part or a plurality of parts of substrate determine.It is directed to determine and write down calibration.After handling, this template can be moved (being commonly referred to " stepping ") on the next part of substrate to be processed.Substrate can very accurately be controlled about moving of template, so that can accurately determine the variation of substrate about the position of template.After substrate moves, can determine the orientation of template, and can compare with the correction orientation about substrate.Under the situation that needn't detect the template alignment, can change template position and mate this calibration orientation with alignment mark.Make when offscreen processing, this method can improve process velocity and total output.
Can utilize capacitive transducer or laser interferometry measure and compensation treatment substrate to each several part during the X-Y orientation error that causes.The resolution of these sensors can be Ya Nami.The side of this template can cover the very thin metallic conductor of one deck, and perhaps reflecting material is used for carrying out respectively electric capacity and interferes detection.
During the processing semiconductor device, can form multilayer and create this device.Each layer covers on the layer that had before formed during the processing.In certain embodiments, each layer that forms on substrate can comprise an alignment mark.On-chip this alignment mark can install with the embossing template on architecture basics on form, embossing template wherein with this alignment mark mint-mark to formed layer.In one embodiment, but template can comprise template alignment mark dot mark.Alignment mark can be used for comparing by orientation and template alignment mark with the substrate alignment mark, with template and substrate alignment.Dot mark is used for creating new substrate alignment mark on formed layer.This new substrate alignment mark can be used for during producing extra play template and substrate alignment.
The beneficial effect of the foregoing description is: by measure and proofread and correct aliasing error in template and best slit of substrate maintenance, can realize error correction by eliminating static friction and high viscous friction.This best slit is high enough to eliminate static friction and high viscous friction, and simultaneously, airtight slit motion caused aliasing error between template and substrate can reach minimum.
Description of drawings
In the following detailed description of reading with reference to the accompanying drawings, other purpose of the present invention and advantage can become more obvious, wherein:
The cross-sectional view in the slit between Figure 1A and 1B traceable template and the substrate;
Fig. 2 A-2E describes the cross-sectional view of lithographic process;
Fig. 3 describes to illustrate the processing flow chart of the sequence of steps of lithographic process;
Fig. 4 describes the backplan of embossing template;
Fig. 5 describes to be positioned at the cross-sectional view of on-chip template;
Fig. 6 describes the cross-sectional view according to the processing of the first embodiment lithography template;
Fig. 7 describes to be used to form according to second embodiment cross-sectional view of the processing of lithography template;
Fig. 8 describes the cross-sectional view of embossing template;
Fig. 9 describes the cross-sectional view of another embossing stencil design;
Figure 10 describes correctable stream is applied to the top view that substrate is handled;
Figure 11 describes to be used for the synoptic diagram of the equipment of distribution flow during lithographic process;
Figure 12 describes to be used for the stream distribution pattern of lithographic process;
Figure 13 describes to be included in on-chip a plurality of flow graph case;
Figure 14 describes to be used for the synoptic diagram of another equipment of distribution flow during lithographic process;
Figure 15 describes to comprise many flow graph cases that are actually parallel line;
Figure 21 is the processing flow chart that the overall situation of many mint-marks is handled;
Figure 22 is the processing flow chart of the Local treatment of many mint-marks;
Figure 23 is the perspective view of template with respect to the axle of the rotation of substrate;
Figure 24 describes to be positioned at the measurement mechanism on the pattern template;
Figure 25 describes the skeleton diagram of optical alignment measurement mechanism;
Figure 26 describes to measure and uses alignment mark to make the diagram of template with respect to substrate alignment;
Figure 27 describes to utilize polarizing filter to measure the use alignment mark and makes the diagram of template with respect to substrate alignment;
Figure 28 describes the skeleton diagram of electric capacity template alignment measurement mechanism;
Figure 29 describes the skeleton diagram of laser interferometer alignment measurement mechanism;
Between being depicted in, Figure 30 has the template in gap and substrate is measured their alignment when the inner branch in its gap is filled with liquid diagram;
Figure 31 describes to comprise the alignment mark of a plurality of etching line;
Figure 32 describes the perspective view of orientation device;
Figure 33 describes the exploded view of orientation device;
Figure 34 describes the treatment scheme of clearance measurement technology;
Figure 35 describes to be used for to determine the cross-sectional view of the technology in the gap between two materials;
Figure 36 describes to be used for determining the synoptic diagram of local minimum and maximal clearance;
Figure 37 describes to have the template of clearance measurement recess;
Figure 38 describes to use the skeleton diagram in gap between interferometer measurement template and the interferometer;
Figure 39 describes to use the skeleton diagram in gap between detector-prism combined detection template and the substrate;
Figure 40 describes the sectional view of lithographic process;
Figure 41 describe to be used to throw light on skeleton diagram of processing of template;
Figure 42 describes the perspective view of flexible member;
Figure 43 describes to assemble first and second flexible members to utilize;
Figure 44 describes the perspective view of the bottom of orientation device;
Figure 45 describes the skeleton diagram of flexible arm;
Figure 46 describes the cross-sectional view of pair of flexible arm;
Figure 49 describes to be used for taking out from substrate the diagram of template after curing;
Figure 50 is used for from the sectional view of the method for substrate taking-up template after being depicted in curing;
The skeleton diagram of Figure 51 traceable template back-up system; With
The side view in the gap between Figure 52 traceable template and the substrate.
The present invention allows various improvement and selection form, and its particular is for example shown by schematic form, will describe in detail here.Yet, it should be understood that the accompanying drawing here and describing in detail is not the present invention to be limited to particular form described here, and all improvement, equivalent and the alternative that drops in the spirit and scope of the present invention that are limited by the accompanying claims contained in the present invention.
Embodiment
Embodiment described herein relates generally to make system, device and the relevant treatment of dingus.Say that more specifically the embodiment here relates to lithographic system, device and relevant treatment.For example, these embodiments have at substrate, for example print the purposes of very little feature on the semiconductor wafer.It should be understood that these embodiments also have the purposes of others, for example cost-effective microelectromechanical-systems (or MEMS).These embodiments also have makes other kind device, includes, but are not limited to be used for the pattern magnetic medium, micro-optical device, biological and chemical device, X-line optics device of data storing etc.
Refer now to accompanying drawing, Figure 1A and 1B have shown the layout with respect to substrate 20 prepositioned templates 12 among the figure especially, prepare to make the feature of printshop need offscreen on the substrate 20 wherein.Specifically, template 12 can comprise a surface 14 that is manufactured with the shape of required feature, and it can be quoted to substrate 20 conversely.In some embodiments, transfer printing layer 18 can be placed between substrate 20 and the template 12.Transfer printing layer 18 can be accepted required feature from template 12 through printed layers 16.In common knowledge as this area, transfer printing layer 18 can make and obtain high-aspect-ratio structure (or feature) from the feature of low aspect ratio printing.
For lithographic purpose, importantly keep template 12 close to each other as much as possible with substrate 20 and almost parallel.For example,,, about 200nm or littler may be arranged on the printing zone of substrate 20, change mean gap less than about 50nm in order successfully to carry out lithographic process for the dark feature of the wide and about 100nm of about 100nm.Here the embodiment of being given provides the method in space between a kind of control template 12 and the substrate 20, is used for successfully carrying out lithography under the condition with strictness like this and the requirement of accurate gap.
Figure 1A and 1B have illustrated two types the problem that may run in lithography.In Figure 1A, because template 12 is close at an end and the substrate 20 of printed layers 16, so form a fox mark brush layer 16.Figure 1A has illustrated and kept template 12 and substrate 20 abundant parallel importance during pattern transfer.Figure 1B shows too thick printed layers 16.Both of these case is all very undesirable.The embodiment here provides system, processing and the relevant apparatus that can eliminate other relevant in Figure 1A and 1B and the existing lithography technique directed problem.
Fig. 2 A has illustrated the embodiment of the lithographic process of unified usefulness 30 representatives to 2E.In Fig. 2 A, can be in dimensional orientation template 12 with respect to substrate 20, thus form gap 31 at the place, space of minute partiting template 12 and substrate 20.The surface 14 of template 12 can be handled with thin layer 13, and thin layer 13 reduces the template surface energy and helps template 12 to separate from substrate 20.Directed mode is discussed below and be used for control template 12 and substrate 20 between the device in gap.Next step, the material 40 that can be used for the shape unanimity of surface treated 14 is filled gap 31.Perhaps, in one embodiment, can before template 12 is moved to desired location with respect to substrate 20, material 40 be assigned on the substrate 20.
Material 40 can form the printed layers of the printed layers 16 shown in Figure 1A and 1B.Preferred substance 40 can be a liquid, thereby can fill gap 31 under the condition that does not need high temperature at an easy rate, and can not need high pressure and make the gap close.To go through suitable selection below about material 40.
Hardening agent 32 can be applied to the shape of template 12 with the space that causes material 40 hardening and guarantee to limit by gap 31.By this way, required feature 44 (Fig. 2 D) can be transferred on the upper surface of substrate 20 from template 12.Also transfer printing layer 18 directly can be provided on the upper surface of substrate 20.Transfer printing layer 18 can be so that amplify to produce the feature of a high aspect ratio from the feature of template 12 transfer printings.
Shown in Fig. 2 D, template 12 can be removed from substrate 20 and stayed required feature 44.Thereby template 12 and substrate 20 are separated not to be needed to cut or tear from the surface of substrate 20 and required feature 44 is remained intact.The embodiment here provides a kind of method and relevant system, is used for after printing peeling off or to pull out (abbreviating " shell and draw " method here as) template 12 from substrate 20, thereby keeps required feature 44 excellent.
At last, in Fig. 2 E, as use the double-deck impedance of knowing altogether to handle, the effect by transfer printing layer 18 is to amplify from the vertical cross-section size that template 12 is transferred to the feature 44 of substrate 20.Can use known technology that the structure of gained is further processed to finish manufacture process.Fig. 3 has summarized the embodiment of the lithography process of representing with 50 unifications with the flow table form.At first,, can carry out the route orientation of template and substrate, thereby reach the gross alignment of template and substrate in step 52.Advantage in the route orientation of step 52 is that it can allow to carry out pre-calibration in manufacturing environment, and described manufacturing environment is to have many devices to prepare with environment efficient and that high yield is made.For example, comprise at substrate under the situation of one of a plurality of moulds on the semiconductor wafer, in single production run, in case, just can be applied on all other moulds in the enterprising walking along the street line alignment of first mould (step 52).By this way, the production cycle can shorten and productive rate can increase.
In step 54, can be to substrate with dispensed materials.Material can be the organic silicon solution of light curable, or other is when being exposed to the organic liquid that the active light time can become solid.Use this fact of liquid can eliminate needed high temperature and high pressure in existing lithography technique.Next step, in step 56, can control template and substrate between the interval, thereby form the gap of relative homogeneous between two-layer, to allow required accurate pointing in successful printing.The embodiment here provides device and the system that is used for the required orientation of step 56 (coarse and meticulous).
In step 58, along with about substrate and material and meticulous directed template can make the gap close.Can solidify this material (step 59), make this material hardening form shape with template characteristic.Below, in step 60, can make template from substrate separation, the feature that causes being printed on the template is transferred on the substrate.At last, can use in step 62 and tentatively be etched with the oxide etch technology of removing residual materials and knowing altogether and come etch structures with the etching transfer printing layer.
In various embodiments, on the template can with patternless regional the combination, the i) plane of template surface, ii) at the recess of template, iii) outstanding in template, or built-up section iv) recited above.Can form a projection on the template, it can be a rigidity.This projection can provide a uniform wall, is used to bear particle and optical devices, as grating, hologram etc.Perhaps, can form the projection that to compress on the template.
In general, template can be by one from i) side, the ii) back side, iii) the front or iv) combinations thereof contact the rigid body that supports it through the surface.The template support has the advantage that prevents its distortion or distortion under the situation of exerting pressure.In some embodiments, can form coating in some zone of template with reflectance coating.In some this embodiment, can form the hole at the reflectance coating place of template and make light can pass or pass through template.This coating is in that to utilize interferometer to carry out under the situation of Template Location with overlapping correction useful.This coating also makes and can be cured by the side of template rather than the hardening agent source at top with irradiation.This also can allow, and the design aspect in falsework, gap Detection Techniques and overlapping mark detection system has elasticity in other affairs.Can be at i) normal incidence is to template, and ii) incide template at a certain angle, or iii) carry out the exposure of template by the side surface incident of template.In certain embodiments, the template of rigidity can be combined with flexible material.
Can make template with optical lithography, electron beam lithography, ion beam lithography, X line lithography, extreme ultraviolet line lithography, scan-probe lithography, focused ion beam powder process, interferometer lithography, epitaxial growth, thin film deposition, chemical etching, plasma etching, ion powder process, reactive ion etching or combinations thereof.Can on substrate, form template with plane, parabola, sphere or other configurations of surface.Can use template with substrate with plane, parabola, sphere or other configurations of surface.Substrate can include the predetermined pattern form and/or the membrane stack of many materials.
In the embodiment that Fig. 4 describes, template can comprise area of the pattern 401, entrainment passage 402 and edge 403.Template edge 403 can be used for that template is fixed on template to be fixed in it.Thereby entrainment passage 402 can be configured to the entrainment excess liq and prevent that it is diffused into contiguous area of the pattern, and this will describe in detail below.In some embodiments, the area of the pattern of template can be smooth.This embodiment can be used for the complanation substrate.
In some embodiments, can make template with many depth design.The various features of template can have the different depth with respect to template surface in other words.For example, entrainment passage 402 can have the degree of depth bigger than area of the pattern 401.The advantage of this embodiment is to improve the accuracy in gap between probe template and the substrate.Very little gap may be difficult to survey (for example less than about 100nm), therefore, adds that to template the step of known depth can make the detection in gap more accurate.The advantage of dual-depth design is that this design makes and can utilizes the standardized dies fixator to fix printing stencil intended size, that can comprise all size mould.The 3rd advantage of dual-depth design is to make can utilize neighboring area top template altogether.In this system, having the template of functional structure and all parts of substrate interface can be exposed under the hardening agent.As shown in Figure 5, have suitable design neighboring area 501 the degree of depth template 500 can with printed layers 502,503 adjacency.In addition, the neighboring area 501 of printing stencil 500 can keep and printed layers 503 between safety vertical range.
Can make ins all sorts of ways makes aforesaid dual-depth printing stencil.In the embodiment that Fig. 6 describes, can form have high resolving power, both single, thick substrates 601 of shallow degree of depth mould pattern 602 and low resolution, high depth periphery pattern 603.In the embodiment that Fig. 7 describes, can form have high resolving power, the thin substrate 702 of shallow degree of depth mould pattern 701 (for example quartz wafer).Then can be from substrate 702 cutting die patterns 701.Mould pattern 701 can be adhered on the thick substrate 703 then, select size to make it be suitable for printing stencil fixator on the printing machine.This bonding preferred use have with the hardening agent (for example UV light) of mould material similarly the bonding neat agent 704 of refractive index obtain.
In Fig. 8 A, 8B and 8C, describe the design of other printing stencil, and respectively with numeral 801,802 and 803 representatives.Stencil design 801,802 and 803 each can comprise that one is used for clearance measurement and or the sunk area of entrainment excess liq.
In one embodiment, template can comprise the mechanism that is used for based on the geometry controlling liquid diffusion of the physical characteristics of material and template.The amount that can not cause the excess liq that can hold of chip area loss can be limited by the geometry of surface energy, fluid density and the template of various materials.Therefore, can use an alleviation structure to be enclosed in required molded or area of the pattern excess liq on every side with entrainment.This zone can be referred to as " section ".The alleviation structure at section place can use the standard process technology that is used to make up aforesaid pattern or molded alleviation structure to dent into template surface.
In traditional photoetching, the optics near-end in the photomask design is proofreaied and correct.Similar notion can be applied in the molded or lithography of miniature and millimicro.Complete difference in lithography process be error not since diffraction or the interference of light cause, but since in process the variation of contingent physical characteristics caused.These variations can be determined the needs that the alleviation of characteristic in the geometric shape of template or design is proofreaied and correct.To proofread and correct similar notion, wherein designed pattern and alleviated structure and can eliminate because these of physical characteristics change caused error with the template that adapts to the changes in material (for example shrinkage or expansion) during the printing with the optics near-end that is used for optical lithography.Because the variation of these physical characteristicss such as volumetric expansion or contraction, can be adjusted and alleviate structure to produce correct required copy feature.For example, Fig. 9 describes not have because the embodiment of the printing 901 that changes in material properties forms and because the printing 902 that the variation of material behavior forms.In some embodiments, the template 904 with feature of substantial rectangular profile can stand because the distortion that material shrinkage during curing causes.In order to compensate such material shrinkage, the profile 905 that template characteristic is had the angle is arranged.
For lithography process, may relate to durability and its release characteristics of template.Durable template can be made of silicon or silica matrix.Other suitable material can include, but are not limited to carbonization SiGe, gallium nitride, SiGe, sapphire, gallium arsenide, extension type silicon, poly-silicon, oxidation grid, quartz or their composition.But template can comprise and be used to form detected characteristics, for example the material of alignment mark.For example, but detected characteristics can be by SiO xForm, x wherein is less than 2.In some embodiments, x can be about 1.5.Think that this material can be opaque to visible light, but transparent for some active optical wavelength.
Have been found that by experiment via processing template and form the durability that thin layer can improve template with surface in template.For example, can form alkyl silane, fluoroalkyl silanes or fluoro-alkyl trichlorosilane layer from the teeth outwards, particularly can use 13 fluoro-1,1,2,2-tetrahydrochysene octyl group three chloro silane (C 5F 13C 2SiCl 3).This processing can form self-assembly individual layer (SAM) on the surface of template.
Can optimize surface treatment process to produce low surface energy coat.This coating can be used for preparing the template that is used for lithography.The template of handling can have required release characteristics with respect to untreated template.For example, the new template of the handling surface free energy λ that can have HandleBe about 14 dynes per centimeter.The surface free energy λ that untreated template surface can have HandleBe about 65 dynes per centimeter.Disposal route described herein can produce the film with high durability level.Durability is in demand, because it can cause template to tolerate the repeatedly printing of making in being provided with.
Can use liquid phase processing or vapor phase method for processing on template surface, to form coating.In liquid phase processing, substrate can be immersed in the solution of precursor and solvent.In vapor phase processing, can be through natural instincts vector gas transhipment precursor.Acquisition is used for pure anhydrous solvent that liquid phase handles may be very difficult.The water of body in mutually may cause the grumeleuse deposition during the processing, and this may influence the final mass or the covering of coating conversely.In an embodiment of vapor phase processing, template can be placed in the vacuum chamber, afterwards can the circularly purifying vacuum chamber to remove excessive water.Some absorbable water can be retained on the surface of template.May need a spot of water to finish the surface reaction that forms coating.Think and react and can describe by following reaction equation:
R-SiCl 3+3H 2O=>R-Si(OH) 3+3HCl
In order to promote this reaction, can the temperature of template be adjusted to required temperature of reaction through the temperature console panel.Then precursor is sent to reaction chamber and kept preset time.Can decide according to specific reacting precursor and template substrate composition such as response parameters such as die plate temperature, precursor concentration, fluid geometry.
As previously mentioned, material 40 can be a liquid, thereby makes it can be filled in the space in gap 31.For example, material 40 can be the low-viscosity (mobile) liquid monomer solution.Suitable solution can have the viscosity (25 ℃ under measure) of about 0.01 centipoise to about 100 centipoise scopes.Need low viscosity especially for high resolution structures (for example 100nm is following).Particularly, in the following scheme of 50nm, the viscosity of solution should or be lower than 25 centipoises, or more preferably less than 5 centipoises (measuring down) at 25 ℃.In one embodiment, suitable solution can comprise the normal-butyl acrylates of 50% weight and the potpourri of 50%SIA 0210.0 (3-acryloyl-oxy propyl group three trimethicones) silane.Can in this solution, add polymerization initiator (for example light trigger) in a small amount.For example, the SIB 1402.0 of the 1:1 Irg 819 of 3% weight and Irg 184 and 5% weight is proper.The viscosity of this potpourri approximately is 1 centipoise.
In one embodiment, lithographic system can comprise the automatic fluid distribution method and the system on the surface that is used for agent sheet (for example semiconductor wafer) that liquid is distributed.This distribution method can be used the module automatic fluid divider of the distribution end with one or more extensions.This distribution method can use the X-Y platform to produce relative transverse movement between dispenser tip and substrate.This distribution method can be eliminated the several problems that occur in the lithography that uses low-viscosity (mobile) liquid.For example, this method bubble that can eliminate printing zone is caught and local deformation.When embodiment can also be provided at liquid is diffused into whole gap between printing stencil and the substrate, do not have unnecessary waste excess liq and obtain the method for low squeegee pressure.
In one embodiment, can be for 1 square inch of typical dispensed volume of printing area less than about 130nl (millilambda).After the distribution, the method for back can comprise template and substrate assembly are exposed under the hardening agent.Template can be stayed the image of transfer printing the top of print surface from the separation of substrate.The image of transfer printing can be positioned on the thin layer of material of leaving exposed.Retaining layer can be called as " basic unit ".This basic unit should approach and the evenly printing to be used for making.
Printing processing can comprise high pressure and/or the high temperature that is applied to template and substrate interface.Yet,, should avoid high pressure and high temperature in order to make the purpose of the lithography process that comprises the high-resolution overlay alignment.Embodiment described herein has been avoided needs to high temperature by using low viscosity light curable liquid.In addition, by minimizing liquid is diffused into the required extruding force of whole printing zone and minimizes squeegee pressure.Therefore, for the lithographic purpose based on liquid, the liquid assigning process should satisfy following characteristic:
1. between template and substrate, there is not the bubble that to catch;
2. should avoid direct contact between dispenser tip and the substrate to produce minimum particle;
3. should minimize the required pressure in gap between filling template and the substrate;
4. should minimize non-homogeneous liquid assembly and/or pressure gradient to reduce the non-homogeneous local deformation of template-substrate interface; With
5. should minimize the waste of the liquid of distribution.
In some embodiments, can utilize, have basically the pattern of lines continuously to form at printing zone based on the relative motion between replaceable liquid distributor tip and the substrate.Speed and the sectional dimension of relative motion may command lines and the shape of lines by the balance distribution.In assigning process, dispenser tip can be fixed (for example at micro scale) near substrate.In Figure 10 A and 10B, describe to form two kinds of methods of line image.The line image of describing among Figure 10 A and the 10B is a sinusoid pattern.Yet, also may be other pattern.As what describe among Figure 10 A and the 10B, can use single assigned device most advanced and sophisticated 1001 or a plurality of dispenser tip 1002 continuous line image that draws.
Partition coefficient V dRelative laterally rate travel V with substrate sCan have following relation:
V d=V d/ t d(dispensed volume/distribution period), (1)
V s=L/t d(line length length/distribution period), (2)
V d=aL (" a " is the sectional area of line image here), (3)
Therefore,
V d=aV s (4)
The width of initial line image can be dependent on the size at the tip of divider usually.The tip of divider can be fixed.In one embodiment, can use the volume (V of liquid dispensing controller 1111 (describing) with the liquid of Control Allocation as Figure 11 d) and the used time (t of dispense liquid d).If V dAnd t dFix, the increase of lines length can cause the depth of section of line image to descend.Can obtain the increase of pattern length by the spatial frequency that increases periodic patterns.The amount of liquid that the reduction pattern height can cause shifting in printing process descends.Compare with the situation at single assigned tip, be connected to a plurality of tips of same partition line, can form line image quickly with length by use.In one embodiment, the transfer based on fluid dispensing system can comprise: liquid container 1101, inlet tube 1102, inlet valve 1103, outlet valve 1104, syringe 1105, syringe actuator 1106, dispenser tip 1107, X platform actuator 1109, Y platform actuator 1110, dispenser controller 1111, XY platform controller 1112 and main control computing machine 1113.Suitable transfer based on divider can be bought from Hsmilton company.
Figure 12 has shown several undesirable liquid pattern or distribution methods that are used for low-viscosity (mobile) liquid.These distribute pattern can cause one or more problems, comprising: catch bubble, local deformation and waste liquid.For example, in central dispense one drop of liquid of printing zone 1201, or distribute irregular lines 1205 can cause the local deformation of template and/or substrate.In circular pattern, distribute several 1202, or lines 1206 can cause catching of bubble.Other has almost, and the distribution pattern of close circular pattern 1204 can cause bubble to be caught similarly.Similarly, spraying or place droplet 1203 at random and also can cause catching of bubble.Can cause " drying " problem because film is unstable with low-viscosity (mobile) liquid spin coated substrate.Drying to cause forms a plurality of droplets on substrate, rather than forms thin uniform liquid level.
In one embodiment, fluid dispensation method can form a plurality of droplets of non-individual body after can distribute along with its expansion.Figure 13 describes to use the situation of 5 drop of liquid.Here, using 5 only is for illustrative purposes.Can make the pattern of realizing other open to the outside world in this way, such as sinusoidal line, " W " or " X ".Along with template-substrate gap descends, but dripping 1301 attenuation, circle broadens, cause that drop is fused into 1302 on every side.Therefore, even original allocation can not comprise conitnuous forms, the liquid of expansion can be from the gap exhaust between template and the substrate.Should divide the effective pattern that is used in this method by this way, promptly along with the expansion of droplet, they can not catch any gas between template and the substrate.
Its volume accurately droplet of appointment can use the miniature electromagnetic valve with pressure supporting element to distribute.The liquid of other types distributes actuator can comprise piezoelectric actuated divider.With compare based on the liquid distributor that shifts, the advantage with system of miniature electromagnetic valve divider comprise distributes faster the time and more accurately volume control.These advantages especially need for a large amount of printings (for example several inches cross sections).Figure 14 has shown an embodiment of the system that comprises a plurality of miniature electromagnetic valves.This system comprises: liquid container 1401, inlet tube 1402, inlet valve 1403, pump 1404, outlet valve 1405, pump controller 1406, miniature electromagnetic valve 1407, micro electromagnetic valve control 1408, X-Y platform 1409, X-Y platform controller 1410 and main control computing machine 1412.Substrate 1411 can be placed on the X-Y platform 1409.Suitable miniature valve dispenser system can obtain from Lee company.
Figure 15 A has shown the distribution pattern of the printing zone that can be used for extensive (for example, greater than several inches).In these embodiments, parallel lines that can dispense liquid 1503.The parallel lines of liquid 1503 can be expanded according to following manner: during near substrate 1502, discharge air in template 1501 from the slit.In order to expand line 1503 according to desirable mode, template 1501 can be to have a mind to the structure (shown in Figure 15 B) of wedging near the slit.That is, template/substrate slit can be approaching along line 1503 (key groove can be parallel to line 1503).
Provide the advantage of well-distributed original liquid layer to be to compensate orientation error between template and the substrate.This may be because the fluid dynamics of liquid lamella and the compliance of orientation device.The bottom of template can be than the early liquid that contacts distribution of the other parts of template.Along with gap smaller between template and the substrate, the reaction force unbalance increases between the bottom of template and the top.This force unbalance may cause the correction of movement between template and the substrate, makes their keeping parallelisms basically.
Successful lithography needs template accurately to align with respect to substrate and orientation, with the gap between control template and the substrate.The embodiment here can be provided at the system that obtains accurate alignment and clearance control in the manufacture course of products.In one embodiment, this system can comprise high resolving power X-Y translation stage.In one embodiment, the pre-calibration platform of alignment and route alignment operation at the beginning of this system can be provided for being used to carry out between template and the substrate surface is so that alignment relative drops in the range of movement of fine movement orientation device.This pre-calibration platform can only just need when new template is installed in instrument (being sometimes referred to as ledex).The pre-calibration platform can or be connected to substrate and the high resolving power actuator of flexible member is formed by substrate, flexible member and a plurality of micrometer.
If the orientation alignment between template and substrate is independent of the X-Y motion, then the site error for whole substrate wafer (i.e. " overall situation is overlapping ") only need compensate once.If the orientation alignment between template and substrate and X-Y motion combine and/or exist excessive local positioning to change on substrate, then the X-Y change in location with respect to the template of substrate needs to compensate (overlapping to the field) on the spot.About overlapping aligned portions overlapping alignment problem is discussed once more.Figure 21 and 22 provides the overall situation and field to field aliasing error backoff algorithm respectively.
In one embodiment, by the location of pre-calibration platform (the use actuator is automatic or the use micrometer is manual) and meticulous orientation device acquisition template and substrate, it can be active or passive.In these any one or both can comprise other mechanisms, but are preferably based on flexible mechanism to avoid particulate.Calibration console can be installed on the framework, and meticulous orientation device can be installed on the pre-calibration platform.Thereby this embodiment can form continuous mechanism and arrange.
Meticulous orientation device can comprise one or more passive compliant element." passive compliant element " is meant that generally it complies with the motion of other element.That is, can inspire motion by direct or indirect contact liq.If meticulous orientation device is passive, then it can be designed to has topmost compliance near two orientation axes.Two orientation axes can quadratures and can be positioned on the low surface of template (describing as Figure 43).For square template, general two quadrature torsion values of complying with should be identical.Can design meticulous orientation device and make when template is not parallel with respect to substrate, because this makes it contact with liquid, the unbalanced hydraulic pressure that causes can be proofreaied and correct orientation error rapidly, in one embodiment, and can Min., or do not want the excessive influence correction.Moreover aforesaid meticulous orientation device can keep the substantially parallel directed one sufficiently long period between template and the substrate, to allow liquid curing.
In one embodiment, meticulous orientation device can comprise one or more actuators.For example, piezoelectric actuator (describing as Figure 46) can be fit to.In this embodiment, the effective passive compliance of the meticulous orientation device that is connected with the pre-calibration platform still should be twisted about two orientation axes basically.The geometry of all these structures and material parameter all have contribution to effective passive hardness with active component.For example, piezoelectric actuator also can be compliance aspect tension force and the compressibility.Can synthesize geometry and material parameter to obtain torsion compliance about two orthogonal directed axles.This synthetic straightforward procedure can be to make actuator be higher than the structure compliance of remainder in the platform system in the compliance of its actuation direction of meticulous orientation device upper edge.This can provide passive self-correcting capability when non-parallel template contacts with on-chip liquid.Moreover, should select this compliance to allow to minimize or exceedingly do not proofread and correct rapidly orientation error.Meticulous orientation device can keep the substantially parallel directed one sufficiently long period between template and the substrate, to allow liquid curing.
Overlapping alignment scheme can comprise the measurement of alignment error, be these errors of compensation afterwards with the alignment that obtains printing stencil accurately and on substrate required printing position.In the used measuring technique of contiguous lithography, x line lithography and photoetching (for example laser interferometer, capacitance sensing, in the automated image processing of mask and on-chip overlapping mark etc.) through suitably can be used in the lithography process after the improvement.
The aliasing error type that is used for lithography process can comprise site error, θ error, magnification sum of errors mask distortion error.The advantage of embodiment disclosed herein is because the method for the disclosure is operated under low relatively temperature (for example room temperature) and low pressure, so may not can there be the mask distortion error.Therefore, these embodiments may not can be induced tangible distortion.Moreover these embodiments can be used the template that is made of relative thicker substrate.Compared by other lithography process that the relative substrate that approaches constitutes with mask, this can cause little many masks (or masterplate) distortion error.Moreover the whole zone that is used for the template of lithography process can be transparent to hardening agent (for example UV light), and this can minimize owing to absorbing the heating that energy causes from hardening agent.With in the photoetching process owing to there be opaque the comparing of a big chunk on the low surface of mask due to the metallic coating, the minimizing of this heat can minimize the generation of thermoinducible distortion.
Site error is commonly referred to as the X-Y site error (promptly along X and/or Y-axis translation) between masterplate and substrate.The θ error generally can be called as the relative orientation error (promptly around the rotation of Z axle) about the Z axle.The magnification error is commonly referred to as and compares shrinkage or the expansion that printing zone heat and material are induced with the master pattern zone on the masterplate.
In lithography process, the surface, field is changed if on substrate, exist excessively, then may need frequently to carry out the masterplate that is used for the clearance control purpose and corresponding to the orientation alignment between the substrate of the angle [alpha] of Figure 23 and β.In general, hope is in half less than the printing feature height of the variation of printing zone.If orientation alignment is related with the location of the X-Y axle of masterplate and substrate, then may need the field to the field positional error compensation.Yet the embodiment of the orientation device here can not induced site error and carried out orientation alignment.
But use the photoetching process location mask and the substrate of focus lens system, make it the image of two alignment masks (one on mask, another is on the substrate) may be positioned on the same focussing plane.May induce alignment error by the relative position of observing these alignment marks.In lithography process, during aliasing error was measured, masterplate kept relative little gap (in micron or littler level) with substrate.Therefore, the aliasing error survey instrument need focus on two overlapping marks on the identical focussing plane from Different Plane.This need may be not strict for device with relatively large feature (for example about 0.5 micron).Yet, for the strict feature between 100 nanosection, should be to identical focussing plane, so that obtain the high-resolution overlay error measure with the image capturing of two overlapping marks.
Therefore, aliasing error measurement and the error compensating method that is used for lithography process should satisfy following requirement:
1. the aliasing error survey instrument should be able to focus on not two overlapping marks on same level;
2. the aliasing error aligning tool should be able to move masterplate and substrate with respect to X and Y under the situation that has liquid lamella between masterplate and the substrate;
3. the aliasing error aligning tool should be able to compensate the θ error under the situation that has liquid lamella between masterplate and the substrate; With
4. the aliasing error aligning tool should be able to compensate the magnification error.
First requirement that proposes above can be satisfied by following steps: i) move up and down optical imaging tools (described in United States Patent (USP) 5204739), or ii) use the radiation source with two different wave lengths.For these two kinds of methods,, can use the knowledge of clearance measurement between masterplate and the substrate especially for second method.Use comprise one of existing noncontact film thickness survey instrument of broadband interferometer, laser interferometer and capacitance sensor can measurement module and substrate between the gap.
Figure 24 has described the position of template 2400, substrate 2401, liquid 2403, gap 2405 and aliasing error survey instrument 2402.Can adjust the height to 2406 of survey instrument to obtain two overlapping marks on identical imaging plane according to gap information.In order to satisfy this method, need an image memory device 2403.In addition, measurement mechanism 2402 is moved from top to bottom and separate the locating device of template and wafer quiveringly.Moreover when needing between template and the substrate scanning motion in the X-Y direction for high-resolution overlay alignment, this method may not can overlap the consecutive image of mark.Therefore, this method is applicable to the overlapping alignment scheme of relative low resolution in the lithography process.
Figure 25 has shown the instrument that is used for from Different Plane two alignment marks being focused on same focussing plane.Instrument 2500 can utilize the variation of the focusing length that the photoconduction with different wave length as radiation source causes.Instrument 2500 can comprise image memory device 2503, lighting source (not shown) and focalizer 2505.By using separately light source or using single wideband light source and between imaging plane and alignment mark, insert optical band pass filter, can produce light with different wave length.According to the gap between template 2501 and the substrate 2502, two wavelength can selecting different groups are to adjust focusing length.Under each illumination, as shown in figure 26, each overlapping mark can produce two images on imaging plane.First image 2601 can be a focusedimage clearly.Second image 2602 can be a blurred picture.In order to eliminate each blurred picture, can use several method.
In first method, under the light-struck situation with first wavelength, two images can be received by pattern matrix (for example ccd array).Having described in Figure 26 can received image, generally by with numeral 2604 representatives.Image 2602 can be corresponding to on-chip overlapping alignment mark.Image 2601 can be corresponding to the overlapping alignment mark on the template.When image 2602 was focused, image 2601 can be fuzzy, and vice versa.In one embodiment, can use image processing techniques to erase geometric data corresponding to the pixel relevant with image 2602.Therefore, can eliminate the blurred picture of substrate mark, stay image 2603.Use the light of the same program and second wavelength, can on pattern matrix, form image 2605 and 2606.This program can be eliminated blurred picture 2606.Therefore, can keep image 2605.The focusedimage 2601 and 2605 of right latter two reservation can be combined in and be used for the aliasing error measurement on the single plane of delineation 2603.
Second method can be utilized the polarization array of two coplanes describing as Figure 27 and the lighting source of polarization.Figure 27 shines overlapping mark 2701 and cross polarization array 2702.Can maybe it can be placed on the template surface at formation polarization array 2702 on the template surface.Under the radiation source of two polarizations, have only the image 2703 (each is corresponding to different wave length and polarization) of focusing just can appear on the plane of delineation.Therefore, can filter blurred picture by polarization array 2702.The advantage of this method is that it does not need image processing techniques to eliminate blurred picture.
It should be noted, if too small in the gap between template and the substrate during the overlapping measurement, because the static friction of liquid lamella or the shearing force of increase would make error correction become very difficult.In addition, if excesssive gap, then the imperfect vertical movement meeting between template and the substrate causes aliasing error.Therefore, should determine the best clearance that the aliasing error carried out between template and the substrate is measured and proofreaied and correct.
Overlapping measurement based on wave pattern has been used to the optical lithography process.For lithography process,, may be difficult to reach the image that obtains two each self-focusings in wave pattern two-layer overlapping situation not on same plane but still on pattern matrix.Yet the gap between careful control template and the substrate and makes template directly not contact with substrate in the depth of focus of optical measurement instrument, can obtain the two-layer of wave pattern simultaneously, and focus issues is minimum.Think that the overlapping scheme of other standard based on wave pattern can be directly used in the lithography process.
But use capacitive sensing device or laser interferometer and high-resolution X-Y platform compensated position error.In one embodiment, wherein the orientation alignment between template and substrate is independent of the motion of X-Y, and site error only needs compensation once for whole substrate (for example semiconductor wafer).This method can be called as " overall situation is overlapping ".If orientation alignment between template and the substrate and X-Y motion association, and on substrate, exist excessive local orientation to change, can use the X-Y change in location of capacitive sensing device and/or laser interferometer compensation template.This method can be called as " field is overlapping to the field ".Figure 28 and 29 has described suitable inductor instrument.Figure 28 has described the capacitive sensing system.The capacitive sensing system can be included in capacitive sensing device 2801, the conductive coating 2802 on the template 2803.Therefore, by the difference between the inductance capacitance, can determine the position of template 2803.Similarly, Figure 29 has described to comprise the embodiment of the laser interferometer system of reflectance coating 2901, laser signal 2902, receiver 2903.The laser signal that is received by receiver 2903 can be used to determine the position of template 2904.
As for the magnification error, if present, can lead to the temperature of carefully controlling between substrate and the template and compensate.Utilize the difference of the thermal expansion character of substrate and template, the size that is pre-stored in area of the pattern on the substrate can be adjusted to new template on consistent.Yet, think when under room temperature and low pressure condition, carrying out lithography process can be than the amplitude of site error or θ error little many of magnification error.
Utilize the θ platform that has been widely used in photoetching process can compensate the θ error.The alignment mark of separation that can be by using two very big distances that are spaced can compensate the θ error, and high-resolution θ estimation of error is provided.When being positioned in position apart from several microns of substrates, template can compensate the θ error.Therefore the shearing of existing pattern can not take place.
The related problem of overlapping alignment is the visibility problem of alignment mark in the lithography process of use UV curing luminescent material.Measure for aliasing error, can use one on template, another is at on-chip two overlapping marks.Yet, owing to wish that template is transparent to hardening agent, so the template overlapping mark does not generally comprise opaque line.More appropriate is that the template alignment mark can be the topographical feature as on the template surface.In some embodiments, mark can be by making with the template identical materials.In addition, the UV curable liquid tends to have and the similar refractive index of mould material (for example quartzy).Therefore, when the UV curable liquid was filled into gap between template and the substrate, the template overlapping mark is variable was very difficult to identification.If the template overlapping mark is made of opaque material (for example chromium), the UV curable liquid below overlapping mark just can not suitably be exposed under the UV light, and this is very undesirable.
The problem that two kinds of methods are used to overcome recognition template overlapping mark under the condition that liquid exists is disclosed.First method is used fluid dispensing system and high resolving power clearance control platform accurately.Suitable fluid dispensing system and high resolving power clearance control platform are described below.For the purpose that illustrates, Figure 30 has described three steps of overlapping alignment.The position of the overlapping mark that Figure 30 describes and the pattern of liquid only are for illustrative purposes, and should not be regarded as limitation of the present invention.Various other overlapping marks, overlapping mark position and/or liquid distribute pattern also to be fine.At first, in step 3001, liquid 3003 can be assigned on the sheet substrate 3002.Then,, use the high resolving power orientation device gap between control template 3005 and the substrate 3002 carefully, make the liquid 3003 that distributes can not fill up the gap between template and the substrate fully in step 3004.Think that in step 3004 this gap only than final printing gap slightly more greatly.Because most of gap is full of by liquid, is full of by liquid fully as this gap, can carry out overlapping correction.After finishing overlapping correction, final printing gap (step 3006) is approached in this gap.This can be so that liquid expands to remaining printing zone.Because the variation of this gap between step 3004 and step 3006 can very little (for example about 10 nanometers), can not cause any significant aliasing error near the gap of motion.
Second method can be to make special overlapping mark on template, and it can see by superimposed survey instrument, but can printing opacity to hardening agent (for example UV light).Figure 31 has shown an embodiment of this method.In Figure 31, the overlapping mark 3102 on template can form meticulous polarized line 3101 rather than complete opaque line.For example, the meticulous polarized line of Shi Heing can have about 1/2 to 1/4 the width as the active light wavelength of hardening agent.The live width of polarized line 3101 should be enough little, makes the abundant diffraction of active light that passes between two lines solidify to cause all liq under the lines.In this embodiment, can make active light polarization according to the polarization of overlapping mark 3102.The active light of polarization can provide uniform exposure to all template zones that comprise the zone with overlapping mark 3102.The light that is used for the overlapping mark 3102 on the locating template can be the broadband light or the light of the special wavelength of solidified liquid material not.This light does not need polarization.The line 3101 of polarization can be opaque fully to measuring light, makes like this and utilize existing aliasing error survey instrument to manifest overlapping mark.Can use prior art, for example electron beam lithography forms the overlapping mark of meticulous polarization on template.
In the 3rd embodiment, overlapping mark can form with the material that is different from template.For example, the material of the formation template overlapping mark of selection can be opaque to visible light basically, but transparent to the active light (for example UV light) as hardening agent.For example, wherein X less than 2 SiO xCan form this material.Specifically, think that its x is about 1.5 SiO xThe structure that forms can be opaque to visible light basically, but to the UV optical transparency.
Figure 32 has described the assembly of the system of usefulness 100 representatives, and it is used for about calibrating and directed template such as masterplate 12 such as having of substrate 20 substrate to be printed.System 100 can be used in the machine, for example in the ledex, is used for using lithographic process described herein at production environment batch manufacturing installation.As shown in the figure, system 100 can be installed on the top frame 110, and top frame 110 can provide support to frame 120.Frame 120 can comprise the pre-calibration platform (Figure 32 does not show) that is used for about substrate route alignment masterplate 150.
Frame 120 can be with being connected to middle frame 114 attached to the guide shaft 112a on the middle frame 114 on frame 120 opposites.In one embodiment, can use three guide shafts (not showing back of the body guide shaft among Figure 32), in order to during the vertical translation of masterplate 150, to support the frame 120 that slides up and down.Be connected to corresponding guide shaft 112a around the middle frame 114 and slider 116a and the 116b on the 112b and can promote moving up and down of frame 120.
System 100 can comprise the disc-shaped base 122 that is connected to frame 120 bottoms.Base 122 can be connected on the dish type flexible ring 124.Flexible ring 124 can support the orientation device of the bottom placement that comprises first flexible member 126 and second flexible member 128.To describe the operation and the structure of flexible member 126 and 128 below in detail.As shown in figure 33, second flexible member 128 can comprise falsework 130, and support 130 is used at printing process template 150 being fixed on the position.Typically, template 150 can comprise a bauerite that is printed on desirable feature on it.Template 150 can also comprise other material according to known method.
As shown in figure 33, actuator 134a, 134b and 134c can be fixed in the frame 120, and are operably connected on base 122 and the flexible ring 124.In operation, can control actuator 134a, 134b and 134c to obtain the motion of flexible ring 124.The motion of actuator can be carried out rough preconditioning.In some embodiments, actuator 134a, 134b and 134c can comprise the high resolving power actuator.In this embodiment, can center on frame 120 equidistant intervals actuators.Such embodiment can allow in vertical direction very accurately translation ring 124 with control gap exactly.Therefore, system 100 can obtain thick orientation alignment and the accurate gap between the control between substrate to be printed and the template 150.
System 100 can comprise that accurately thereby control template 150 can obtain accurate orientation alignment and the mechanism that keeps uniform gap between template and the substrate.In addition, system 100 can be provided at after the printing and need not cut feature and with the method for template 150 from the surface isolation of substrate from substrate surface.Structure by first and second flexible members 126 and 128 can promote accurate alignment and clearance control respectively.
In one embodiment, can use to the transparent separation of hardening agent, fixedly supporting plate 5101 is fixed on original position with template 5102, shown in Figure 51.But the supporting plate 5101 supporting printing power of template 5102 back, and can support separating force in the vacuum that fixedly applies between supporting plate 5101 and the template 5102.For the side force of support shuttering 5102, can use piezoelectric actuator 5103.Can careful control cross-brace power by use piezoelectric actuator 5103.This design also can be provided in and is used for magnification and the skew control ability of layer to layer alignment in the lithography process.Skew control is for overcoming crimping and the site error that exists in the formwork structure of constructing in electron beam lithography, and compensation to be present in the distortion of structure previous on the substrate very important.Magnification correction can only need respectively have a piezoelectric actuator (that is, needing 4 piezoelectric actuators altogether for the template on four limits) on the both sides of template.Actuator can be connected to template surface by this way, and promptly power can be applied to whole surface uniformly.On the other hand, skew control can need the several separate piezoelectric actuator, and it can apply the power of independent control on each limit of template.According to the level of needed distortion control, can specify the independently number of piezoelectric actuator.Piezoelectric actuator is many more, and the control that distortion is provided is good more.Should before using vacuum, finish the correction of magnification and distortion error, with the top surface of restriction template.This is owing to only all do not have under the confined situation on the top and bottom surface of template, just can control magnification and skew control rightly.In some embodiments, the template anchor system of Figure 51 can have the mechanism design that causes that hardening agent stops the area portions under the template 5102.This is undesirable, because the liquid of template 5102 belows part can not be solidified.This liquid can cling template, and template is gone wrong when utilizing next time.By giving template fixator configuration one cover mirror to be offset the problem that the hardening agent that is stopped solves this template fixator with a kind of mode, promptly the hardening agent in the zone below 5102 edges of template can be crooked to solidify the stop portions that is subjected to of template 5102 other belows, edge.
In one embodiment,, but make the minimum clearance between substrate and the template drop in the scope of usability survey technology, can obtain high resolving power gap sensing by design template.Can be independent of the gap of actual pattern surface this measurement of operation.This makes can carry out clearance control in the useful scope of detection technology.For example, if will use the spectral reflectance analytical technology of useful sensing range between about 150 nanometers to 20 micron to analyze this gap, then template can have and have about 150 nanometer degree of depth or a bigger feature on the template of being formed on.This can guarantee the sensed minimum clearance that arrives greater than 150 nanometers.
Because template is reduced towards substrate, the liquid between substrate and the template can be discharged from.When clinging power during near the compression condition of balance on force that applies, promptly the gap between sheet and the template can be near the actual lowest limit.This may take place during near substrate on the surface of template.For example, be on 1 centimetre the template time when the power of 14kPa was applied to radius in one second, the clearance height for 1cP liquid in this scheme is approximately 100 nanometers.As a result, this gap can self limit, to keep one evenly and parallel gap.Equally, can discharge (or entrainment) and can know the liquid of premeasuring.Calculate the volume of the liquid of measurable entrainment according to careful hydrodynamics and surface phenomena.
For the large-scale production printed patterns, need control template with respect to inclination between the substrate and gap.In order to finish orientation and clearance control, can use the template of producing and combine, i) single wave length interferometer, ii) multi-wavelength interference instrument, iii) ellipsometry, iv) capacitance sensor or v) pressure sensor such as following gap detection technology with the graticule manufacturing technology.
In one embodiment, can use a kind of method that detects gap between template and the substrate to calculate on-chip film thickness.The description of a kind of basis from the technology of the fast Fourier transform (FFT) of the reflectance data of broadband spectrometer acquisition disclosed here.This technology can be used for the gap between measurement module and the substrate, and the thickness of measuring film.For multilayer film, this technology can provide the average thickness of every layer film and its variation in thickness.Equally, the measurement of minimum clearance by one of them last three difference in surface can obtain such as between such two the close surfaces of the template-substrate that is used for lithography process mean gap and directed information.
In one embodiment, the clearance measurement process also can be according to the combination of broadband interferometer and fast Flourier (FFT) conversion.In at present industrial several purposes, use the various curve fitting techniques that are used for the broadband interferometer to measure monofilm thickness.Yet, expect that this technology does not provide real-time clearance measurement, particularly under the situation of the multilayer film that is used for lithography process.In order to overcome this class problem, at 1/ λ HighWith 1/ λ LowBetween wavenumber region can be with the first reflection index digitizing.Then, can use fft algorithm to handle this digitalized data.This new method can produce accurately the peak clearly corresponding to the FFT signal in the gap of measuring.Under two-layer situation, the FFT signal can produce the peak clearly with every layer thickness linear dependence.
For optical thin film, the reflectivity of wave number (w) rather than wavelength (λ) fluctuation is periodic, such as the reflectivity of the single layer optical film that shows with following equation:
R = ρ 1,2 2 + ρ 2,3 2 e - 2 αd - 2 ρ 1,2 ρ 2,3 e - αd cos ( 4 πnd / λ ) 1 - ( ρ 1,2 ρ 2,3 ) 2 e - 2 αd + 2 ρ 1,2 ρ 2,3 e - αd cos ( 4 πnd / λ ) , - - - ( 7 )
Wherein, ρ I, i+1Be that n is a refractive index at the reflectivity coefficient at i-1 and i interface, d is the thickness (material 2 of Figure 52) of the film that will measure, and α is the absorbance coefficient (material 2 of Figure 52) of film.Here, w=1/ λ.
Because this characteristic, Fourier analysis can be the cycles of determining according to the function R of w representative.Should be noted that for single thin film, when obtaining the Fourier transform of R (w), can obtain the unimodal (p of clear definition 1).Film thickness (d) can be the function of the position at this peak, such as:
d=p 1/(Δw×2n),
Wherein, Δ w=w f-w sw f=1/ λ Minimum valueAnd w s=1/ λ Maximal value(8)
FFT is known technology, and the frequency of its discrete signal can be calculated in the mode of computer efficiency.Therefore, this technology can be used for the original place analysis and uses in real time.Figure 34 has described to handle the treatment scheme in MEASUREMENTS OF THIN thickness or gap through the FFT of reflectivity signal.For the multilayer film with different reflection indexs, the position at peak can be corresponding to the linear combination of each tunic thickness during FFT handled.For example, two membranes can cause two different peak positions in fft analysis.Figure 35 has described to determine according to two peak positions the method for the thickness of two membranes.
Here the embodiment that provides can also can be carried out the measurement of gap or film thickness under the fluctuation of measuring wave-number range internal reflection data even comprises less than the situation in a complete cycle.In this case, FFT can cause incorrect peak position.In order to overcome the lower limit of this class problem and the measurable film thickness of extension, a kind of new method is disclosed here.Do not use fft algorithm to calculate the cycle of fluctuation, find w and be to use sAnd w fBetween reflexive local smallest point (w 1) or maximum point (w 2) algorithm come computation period information: at w 1And w 2, dR/dw=0.The reflectivity R of equation 7 (w) is to have maximal value at w=0.Moreover the wave-number range (Δ w) of typical spectrometer can be greater than W sFor spectrometer with 200nm-800nm wavelength coverage, Δ w=3/800, and w s=1/800.Therefore, the fluctuation length of the reflectivity data between 0-ws can be less than Δ w.Describe as Figure 36, in Δ w scope, two kinds of minimum value and peaked situation can be arranged, the maximum point of R when supposing w=0 (w).Therefore, can following calculating film thicknesses:
situation 1 WW0: at w 1There is local smallest point.Therefore, w 1Half of=cyclic swing, therefore, d=0.5/ (w 1* 2n).
situation 2 WW1: at w 2There is local maximum point.Therefore, w 2The one-period of=cyclic swing, therefore, d=1/ (w 2* 2n).
The practical structures of survey instrument can comprise wideband light source, have the spectrometer of optical fiber, data acquisition board and process computer.Several existing signal processing technologies can be improved the susceptibility of FFT data.For example, include but not limited to: these technology of the different range of optical filtering, amplification, increase number of data points and wavelength etc. can be used for gap disclosed herein and membrane thickness measured method.
Embodiment disclosed herein is included in the accurate gap and the orientation survey method of (for example template and substrate) between two sheets.Here gap that provides and orientation survey method comprise uses the broadband interferometer and based on the interferometer of striped.In one embodiment, use disclosed herein broadband interferometer method can overcome the shortcoming of broadband interferometer, promptly can't accurately measure the measurement less than about 1/4 gap of average wide-band signal wavelength.Interferometer striped based on striped can be used for the orientation error of sensing after installing template.
Lithography technique can be used for making list and multi-layered devices.Single layer device is such as miniature light microscopic, high resolving power optical filtering, make photoconductive device by form monolayer material on on-chip a certain geometric configuration.The thickness of the printed layers of the device that some are such can be less than 1/4 of broadband signal mean wavelength, and can be formed uniformly in whole active region.The shortcoming of this broadband interferometer is that it can not measure gap less than broadband signal mean wavelength 1/4 (being about 180nm) exactly.In one embodiment, rank that can the micron that can accurately measure is big or small are apart from the surface that etches into template.Describe the form etching rank distance of the continuous lines that can measure or a plurality of burble point 3702 as Figure 37.From maximizing the viewpoint preferable separate point 3702 of active area useful on the template.Apart from substrate only during a few nanometer, the broadband interferometer is measurement clearance and can not run into the problem that minimum clearance is measured exactly as the template surface of pattern.
Figure 38 has described the skeleton diagram of clearance measurement described here.Probe 3801 also can be used in all incline structures as shown in figure 39.If use more than three probes, can improve the accuracy rate of clearance measurement by using this abundant information.For convenience's sake, following description hypothesis is used three probes.Amplified rank carpenters square cun h for illustrative purposes SAC2Mean gap h at area of the pattern pCan for:
h p=[h 1+h 2+h 3]/3]-h s (9)
When the position of probe is known ((x i, y i), wherein x and y axle are on substrate surface), the unit vector that the relative orientation of template and substrate can be expressed as (n), it is perpendicular to the template surface of the framework of the top surface that is positioned at substrate about its x-y axle.
n=r/‖r‖,(10)
Here, r=[(x 3, y 3, h 3)-(x 1, y 1, h 1)] * [(x 2, y 2, h 2)-(x 1, y 1, h 1).When n=(001) T, or h 1=h 2=h 3The time, can obtain perfect orientation alignment between two.
Gap of measuring and the directed feedback information that prints actuator that can be used as.The size of measuring broadband interferometer light beam can be as small as 75 microns.For actual lithography process, the clear area that need only be used for measurement clearance minimizes, because there is not pattern can be etched to this clear area.Moreover what should make that existence owing to survey instrument causes minimized stopping of hardening agent.
Figure 40 has described the scheme at on-chip multilayer material.For example, substrate 4001 has layer 4002 and 4003, and the liquid 4005 between substrate 4001 and template 4004.These material layers can be used to substrate surface a plurality of patterns of transfer printing one by one vertically.The every layer thickness that carries out the clear area of clearance measurement at use light beam 4006 can homogeneous.Shown and used the broadband interferometer, had the thickness that to measure top layer under the situation of multilayer film exactly.Behind the optical characteristics of accurately knowing underlying membrane and thickness, can obtain gap and directed information between template and the substrate surface metal deposition surface of multi-layered devices (or be used for) by measuring top layer thickness.Use identical sensing probe can measure the thickness of each layer.
When new template being installed or rebuilding machine parts, need carry out orientation survey and corresponding calibration.But through template and the interference fringe pattern measurement module 4102 at substrate interface place and the orientation error between the substrate 4103 as shown in figure 41.For two optical sheets, interference fringe pattern can be shown as parallel dark and bright band 4101.Use pre-calibration platform described here can carry out the orientation calibration.Can utilize poor formula micrometer to adjust relative orientation between template and the substrate surface.Make in this way,, then orientation error can be corrected to less than 1/4 of used optical source wavelength if there is not the interference fringe band to exist.
With reference to figure 42A and 42B, it describes the embodiment of first and second flexible members 126 and 128 respectively in detail.Specifically, first flexible member can comprise a plurality of flexible contact 160 that is connected with corresponding rigid body 164,166.Flexible contact 160 and rigid body 164 and 166 can form the arm 172,174 that extends out from framework 170.Flexible frame 170 can have an opening 182 when it is fixed on the support 130, can allow hardening agent (for example UV light) to see through and arrive template 150.In some embodiments, four (4) flexible contact 160 can make flexible member 126 around 180 motions of first orientation axes.Framework 170 configurable bindiny mechanisms of first flexible member 126 are used for being connected with second flexible member 128, as shown in figure 43.
Equally, second flexible member 128 comprises a pair of arm 202 and 204 that extends from framework 206.Arm 202 and 204 can comprise flexible contact 162 and corresponding rigid body 208 and 210. Rigid body 208 and 210 is suitable for causing that flexible member 128 is around second directed axletree 200 motions.Falsework 130 can form an integral body with the framework 206 of second flexible member 128.As framework 182, framework 206 also can have opening 212 on being fixed to support 130 time, is used for making that solidifying light transmission arrives template 150.
In operation, first flexible member 126 can be connected as shown in Figure 43 with second flexible member 128, to form orientation device.Can dispose pillar 220 and 222,, typing axle 180 and second orientation axes 200 of winning is perpendicular to one another basically with convenient two connection.In such structure, first orientation axes 180 and second orientation axes can be intersected at the fulcrum 252 near template substrate interface 254 places.First orientation axes 180 and second orientation axes, 200 quadratures also are positioned at interface 254 these facts meticulous alignment and clearance control can be provided.Particularly, can obtain from the decoupling of layer to the orientation alignment of ply alignment with this configuration.Moreover as following brief explanation, the relative position of first orientation axes 180 and second orientation axes 200 can provide orientation device 250, is used for from substrate template 150 being separated under the situation that desired feature is not formed shearing.Therefore, the feature of transfer printing can intactly be retained on the substrate on the template 150.
With reference to figure 42A, 42B and 43, flexible contact 160 and 162 can be a notch shape, is used to provide rigid body 164,166, and 208 and 210 around the pivot motion, and affiliated pivot is positioned on the thinnest cross section of notch.This structure can provide two (2) subsystem based on flexibility, is used to have the meticulous decoupling orientation device 250 of decoupling compliant motion axle 180 and 200. Flexible member 126 and 128 can closely cooperate through the surface and assemble, and makes the motion of template 150 to take place around fulcrum 252, has eliminated basically to cause " waving " and other motions of shearing the feature of printing from substrate.Therefore, orientation device 250 accurately movable platen 150 around fulcrum 252 motions.Thereby eliminate behind lithography and shear required feature from substrate.
With reference to Figure 44, during operating system 100, need not to provide orientation alignment, the distance between Z translation stage (not shown) may command template 150 and the substrate.Preliminary alignment operation between pre-calibration platform 260 executable templates 150 and the substrate surface is with alignment relative in the motion limit of orientation device 250.In certain embodiments, only under situation about new template being installed in the machine, just need the pre-calibration platform.
With reference to Figure 45, described the flexible model of general usefulness 300 representatives among the figure, be used to understand principle of operation such as orientation device 250 this meticulous decoupling orientation devices.Flexible model 300 can comprise four (4) parallel contacts: contact 1,2,3 and 4 is used for providing four-rod-connected system at its normal direction and rotational structure.Line 310 can pass contact 1 and 2.Line 312 can pass contact 3 and 4.Can select angle α 1And α 2, make that complying with alignment axle (or orientation axes) is located substantially on template-wafer interface 254.For meticulous change in orientation, the rigid body 314 between contact 2 and 3 can be around the axle rotation of being represented by a C.Rigid body 314 can be the rigid body 170 of flexible member 126 and 128 and typical case's representative of 206.
Perpendicular to first flexible member (as shown in figure 43) second flexible member is installed the device with two decoupling orientation axes can be provided, described two axles are orthogonal and be positioned on template-substrate interface 254.Flexible member is suitable for having an opening, is used to make hardening agent (for example UV light) to pass template 150.
Orientation device 250 can be with respect to meticulous alignment of substrate and accurate motion template 150.It is desirable to, directed adjustment can cause ignoring transverse movement on the interface, and is ignoring torsional motion with around the interface surface quadrature, and this is the reason that limits high structural rigidity owing to selectivity.Have flexible contact 160 and be that with 128 another advantages they can be as the same particle that produces at the rubbing contact place with 162 flexible member 126.This is an important factor successfully carrying out in the lithography process, because particle is harmful especially to this process.
Owing to need meticulous clearance control, the embodiment that provides here can need can measurement module and substrate between little of 500 nanometers or the closely spaced gap method for sensing of decimal magnitude more.This gap method for sensing can need about 50 nanometers or littler resolution.It is desirable to, this gap sensing can be provided in real time.The gap sensing that provides the gap sensing to make in real time must to use produces feedback signal to control actuator on one's own initiative.
In one embodiment, can provide flexible member with active compliance.For example, Figure 46 has described a flexible member that comprises piezoelectric actuator that total usefulness 400 is represented.Flexible member 400 can combine with second flexible member to form initiatively orientation device.Flexible member 400 can produce pure banking motion between template and substrate, and does not have transverse movement.Use this flexible member, single overlapping alignment step can allow to carry out the printing of layer on whole semiconductor wafer.This does not align opposite with there being coupled motions overlapping between orientation and the transverse movement.This overlapping alignment step can cause confusing in X-Y alignment, thus need complicated field to the overlapping control loop in field to guarantee suitable alignment.
In one embodiment, flexible member 250 can have high rigidity on the direction that needs sideway movement or rotatablely move, and is needing to have soft on the direction of directed movement.The configurable selectivity compliant device of this embodiment.That is, after obtaining directed movement power suitable between template and the substrate, flexible member 250 can support high relatively load.
As discussed above, in lithography process, separating template 150 from printed layers is a key, last step.Because template 150 is almost completely parallel with substrate, being combined near the optical flat place of template, printed layers and substrate contacts basically equably.This system needs very big separating force usually.Under the situation of Flexible formwork assembly or substrate, separation may only be " stripping process ".Yet from the viewpoint of high-resolution overlay alignment, Flexible formwork assembly or substrate may not be very desirable.Under the situation of quartz template and silicon chip, stripping process is not to be easy to finish.Yet, can successfully carry out template separating on the printed layers by " shell and draw " process.In Figure 49 A, 49B and 49C, show first stripping and be pulled through journey.Shown that in Figure 50 A, 50B and 50C second stripping is pulled through journey.The process of separating template from printed layers can comprise that first and second shell the combination of the process of drawing.
For the sake of clarity, according to Figure 1A and 1B, label 12,18,20 and 40 is represented template, transfer printing layer, substrate and curable materials respectively.After the curing of material 40, template 12 or substrate 20 tilt wittingly, with shape between template 12 and substrate 20 at an angle 500.Orientation device 250 can be used for this purpose.Substrate 20 is fixed on original position by vacuum chuck 478.If the position of sloping shaft is near template-substrate interface, the relative transverse movement meeting between template during the banking motion 12 and substrate 20 is not obvious.In case the angle 500 between template 12 and the substrate 20 is enough big, only use Z axle motion (being vertical movement) just template 12 can be separated from substrate 20.This stripping and the method for drawing can cause required feature 44 not have undesirable shear action and intactly be retained on transfer printing layer 18 and the substrate 20.
Figure 50 A, 50B and 50C have shown second stripping and the pulling method.In second stripping and pulling method, one or more piezoelectric actuators 502 can be installed near template.These one or more piezoelectric actuators 502 can be used to induce the relative tilt (Figure 50 A) between template 12 and the substrate 20.One end of piezoelectric actuator 502 can contact with substrate 20.Therefore, if actuator 502 increases (Figure 50 B), template 12 will be open from substrate 20.Thereby produce angle between the two at them.Z axle between template 12 and the substrate 20 motion (Figure 50 C) can be used to make template 12 to separate from substrate 20 then.One end of actuator 502 can pass through the similar surface treatment in low surface with template 12, is adhered on the surface of actuator to prevent printed layers.
In a word, the embodiment that provides here discloses and has not needed high temperature or high pressure and be successfully used to system, process and relevant apparatus in the lithography.Use some embodiment, can obtain the accurate control in gap between template and substrate, prepare the required feature on the transfer printing template on the substrate wherein.Also have, do not need to destroy or shear required feature and template can be separated from substrate (with printed layers).The embodiment here also discloses a kind of method, is used at lithography substrate being fixed on original position with the form of suitable vacuum chuck.Other embodiment comprises the high precision X-Y translation stage that is suitable in the lithographic system.In addition, also provide formation and the disposal route that is suitable for the lithography template.
The present invention is described with reference to various exemplary, and these descriptions should not be construed limitation of the present invention.Those skilled in the art clearly can improve and make up under with reference to the situation of this instructions schematic embodiment and other embodiment of the present invention.Therefore, appended claim comprises these improvement or embodiment.

Claims (145)

1. a use forms method of patterning to the figuratum template of solidifying the light substantial transparent on substrate, it is characterized in that, comprising:
Light curable liquid is applied on the part of substrate, wherein light curable liquid is solidifying the situation curable that light exists;
Locate figuratum template and substrate, make figuratum template contact at least a portion place on-chip liquid, wherein figuratum template comprises the template alignment mark, and substrate comprises the substrate alignment mark;
Determine the alignment of template alignment mark with respect to the substrate alignment mark;
Adjust the lap position of figuratum template with respect to substrate, make template alignment mark and substrate alignment mark align fully;
Adjust the space between figuratum template and the substrate, make the liquid of using fill up the gap between figuratum template and the substrate fully, and gap wherein is even basically;
Use curing light and arrive liquid by template, this liquid is solidified in the application of wherein solidifying light fully, and wherein the pattern of figuratum template forms in solidified liquid; With
Separate figuratum template from solidified liquid.
2. method as claimed in claim 1 is characterized in that the template alignment mark comprises the pattern that etches on the template.
3. method as claimed in claim 1 is characterized in that, has first's contact of pattern template to be positioned at on-chip liquid, has the second portion of pattern template not contact with liquid, and wherein the template alignment mark is positioned on the second portion that pattern template is arranged.
4. method as claimed in claim 1, it is characterized in that, there is first's contact of pattern template to be positioned at on-chip liquid, there is the second portion of pattern template not contact with liquid, wherein the template alignment mark is positioned on the second portion that pattern template is arranged, reorientated pattern template and substrate after further being included in the adjustment lap position, making has the second portion of pattern template to contact with liquid.
5. method as claimed in claim 1 is characterized in that, determines that alignment comprises:
The light that applies first wavelength has passed pattern template, and wherein the light of first wavelength causes that with respect to analysis tool the substrate alignment mark focuses on and the template alignment mark is fuzzy; With
The light of using second wavelength passes figuratum template, and wherein the light of second wavelength causes that with respect to analysis tool the template alignment mark focuses on and the substrate alignment mark is fuzzy.
6. method as claimed in claim 1, it is characterized in that, definite alignment comprises uses the polarized light alignment tool, also comprise the polarizing filter system is placed between polarized light alignment tool and the figuratum template, wherein the polarizing filter system comprises basically first polaroid filter towards the substrate alignment mark, basically towards second polaroid filter of template alignment mark, the polarisation of light that wherein can pass first polaroid filter is different from the polarisation of light that can pass second polaroid filter fully
7. method as claimed in claim 1 is characterized in that, determines that alignment comprises use wave pattern detecting device.
8. method as claimed in claim 1, it is characterized in that, template alignment mark and substrate alignment mark comprise symmetric shape how much, the alignment of wherein determining alignment mark comprises the center of determining substrate and template alignment mark, relatively the center of template alignment mark and the center of substrate alignment mark.
9. method as claimed in claim 1 is characterized in that, adjust the lap position comprise mobile substrate make the template alignment mark basically with the substrate alignment label alignment.
10. method as claimed in claim 1 is characterized in that, adjusts the lap position and comprises changing pattern template is arranged with respect to the angle between the substrate.
11. method as claimed in claim 1 is characterized in that, adjusts the lap position and comprises that change has the size of pattern template
12. method as claimed in claim 1 is characterized in that, adjusts the lap position and comprises that by change the size that the temperature of pattern template changes pattern template is arranged.
13. method as claimed in claim 1 is characterized in that, adjusts the lap position and comprises by changing the size that pattern template is arranged to there being on the pattern template at least a portion exert pressure.
14. method as claimed in claim 1 is characterized in that, adjusts the lap position and comprises by at least a portion applies the size that stretching force changes pattern template on the pattern template to having.
15. method as claimed in claim 1 is characterized in that, adjusts the lap position and comprises that change has the size of pattern template, wherein by applying the size that power changes pattern template from least one piezoelectric actuator that is connected to pattern template.
16. method as claimed in claim 1, it is characterized in that, definite alignment comprises applying analyzes light to having on the pattern template, wherein there is pattern template to constitute by first kind of material, wherein alignment mark is that second kind of material through being different from first kind of material deposits on the pattern template and form, wherein first and second materials are to being used for the active light wavelength substantial transparent of solidified liquid, but second kind of evaluation of markers that material production has sharp contrast when analyzing light and be applied on the pattern template wherein.
17. method as claimed in claim 1, it is characterized in that, definite alignment comprises applying analyzes light to having on the pattern template, and wherein the template alignment mark comprises a plurality of conducts towards the etched lines of analyzing the diffraction of light grating, and wherein the template alignment mark is basically to active optical transparency.
18. method as claimed in claim 1 is characterized in that, the step that liquid is applied to the part of substrate comprises uses the liquid distributor dispense liquid.
19. method as claimed in claim 1 is characterized in that, liquid is applied to substrate comprises and use the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate.
20. method as claimed in claim 1, it is characterized in that, using liquid comprises to substrate uses the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate, wherein predetermined pattern is to be built into when along with having pattern template and substrate to be directed on spatial relationship, can suppress to form in the liquid pattern of bubble when the pattern template contact liq is arranged.
21. method as claimed in claim 1, it is characterized in that, using liquid comprises to the substrate uses the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate, wherein select predetermined pattern to make the gap of liquid filling in the zone of the surf zone that is substantially equal to pattern template.
22. method as claimed in claim 1 is characterized in that, orientation has pattern template and substrate to comprise in spatial relationship each other:
On substrate, be positioned with pattern template; With
Moved pattern template up to obtaining spatial relationship towards substrate, wherein along with template moves towards substrate, on-chip liquid is filled this gap basically.
23. method as claimed in claim 1 is characterized in that, orientation has pattern template and substrate to comprise with the distance substrate to be positioned with pattern template less than about 200 nanometers in spatial relationship each other.
24. method as claimed in claim 1 is characterized in that, orientation has pattern template and substrate to comprise to be positioned with pattern template with the substantially parallel direction of substrate in spatial relationship each other.
25. method as claimed in claim 1 is characterized in that, separating from the liquid that solidifies has pattern template to comprise:
Towards nonparallel direction movable platen basically; With
Removed pattern template from substrate.
26. method as claimed in claim 1 is characterized in that, orientation has pattern template and substrate to comprise on spatial relationship each other:
To there be pattern template to be positioned on the substrate, and wherein have pattern template non-parallel with substrate basically;
Moved pattern template towards substrate,, had pattern template to be retained in and the nonparallel basically direction of substrate wherein along with template moves towards substrate; With
With the direction orientation that is arranged essentially parallel to substrate pattern template is arranged, wherein have pattern template and substrate to have spatial relationship.
27. method as claimed in claim 1 is characterized in that, substrate comprises silicon, gallium, carbon or indium.
28. method as claimed in claim 1 is characterized in that substrate comprises electrolyte.
29. method as claimed in claim 1 is characterized in that, substrate comprises quartz, sapphire, silicon dioxide or polysilicon
30. method as claimed in claim 1 is characterized in that, has pattern template to comprise quartz.
31. method as claimed in claim 1 is characterized in that, has pattern template to comprise tin indium oxide.
32. method as claimed in claim 1 is characterized in that liquid comprises photoresist.
33. method as claimed in claim 1 also comprises:
Before being applied to liquid on the substrate, on substrate, form transfer printing layer; With
To have pattern template to separate the after etching transfer printing layer from substrate, wherein the etching transfer printing layer makes pattern transfer to transfer printing layer.
34. a use forms method of patterning to the figuratum template of solidifying the light substantial transparent on substrate, comprising:
Light curable liquid is applied on the part of substrate, wherein light curable liquid is solidifying the situation curable that light exists;
Locate figuratum template and substrate, make the liquid of using fill up the gap between figuratum template and the substrate fully;
Determine the alignment of template alignment mark with respect to the substrate alignment mark;
Adjust the lap position of figuratum template with respect to substrate, make template alignment mark and substrate alignment mark align fully;
Use curing light and arrive liquid by template, wherein this liquid is solidified in the application of active light fully, and wherein the pattern of figuratum template forms in solidified liquid; With
Separate figuratum template from solidified liquid.
35. the method as claim 34 is characterized in that, the template alignment mark comprises the pattern that etches on the template.
36. the method as claim 34 is characterized in that, determines that alignment comprises:
The light that applies first wavelength has passed pattern template, and wherein the light of first wavelength causes that with respect to analysis tool the substrate alignment mark focuses on and the template alignment mark is fuzzy; With
The light of using second wavelength passes figuratum template, and wherein the light of second wavelength causes that with respect to analysis tool the template alignment mark focuses on and the substrate alignment mark is fuzzy.
37. method as claim 34, it is characterized in that, definite alignment comprises uses the polarized light alignment tool, also comprise the polarizing filter system is placed between polarized light alignment tool and the figuratum template, wherein the polarizing filter system comprises basically first polaroid filter towards the substrate alignment mark, basically towards second polaroid filter of template alignment mark, the polarisation of light that wherein can pass first polaroid filter is different from the polarisation of light that can pass second polaroid filter fully.
38. the method as claim 34 is characterized in that, determines that alignment comprises use wave pattern detecting device.
39. the method as claim 34 is characterized in that, adjust the lap position comprise mobile substrate make the template alignment mark basically with the substrate alignment label alignment.
40. the method as claim 34 is characterized in that, adjusts the lap position and comprises changing pattern template is arranged with respect to the angle between the substrate.
41. the method as claim 34 is characterized in that, adjusts the lap position and comprises that change has the size of pattern template.
42. the method as claim 34 is characterized in that, adjusts the lap position and comprises that by change the size that the temperature of pattern template changes pattern template is arranged.
43. the method as claim 34 is characterized in that, adjusts the lap position and comprises by changing the size that pattern template is arranged to there being on the pattern template at least a portion exert pressure.
44. method as claim 34, it is characterized in that, template alignment mark and substrate alignment mark comprise symmetric shape how much, the alignment of wherein determining alignment mark comprises the center of determining substrate and template alignment mark, relatively the center of template alignment mark and the center of substrate alignment mark.
45. the method as claim 34 is characterized in that, adjusts the lap position and comprises by at least a portion applies the size that stretching force changes pattern template on the pattern template to having.
46. the method as claim 34 is characterized in that, adjusts the lap position and comprises that change has the size of pattern template, wherein by applying the size that power changes pattern template from least one piezoelectric actuator that is connected to pattern template.
47. method as claim 34, it is characterized in that, definite alignment comprises applying analyzes light to having on the pattern template, wherein there is pattern template to constitute by first kind of material, wherein alignment mark is that second kind of material through being different from first kind of material deposits on the pattern template and form, wherein first and second materials are to being used for the active light wavelength substantial transparent of solidified liquid, wherein second kind of analyzable mark of material production when analyzing light and be applied on the pattern template.
48. method as claim 34, it is characterized in that, definite alignment comprises applying analyzes light to having on the pattern template, and wherein the template alignment mark comprises a plurality of conducts towards the etched lines of analyzing the diffraction of light grating, and wherein the template alignment mark is basically to active optical transparency.
49. the method as claim 34 is characterized in that, the step that liquid is applied to the part of substrate comprises uses the liquid distributor dispense liquid.
50. the method as claim 34 is characterized in that, liquid is applied to substrate comprises and use the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate.
51. method as claim 34, it is characterized in that, using liquid comprises to substrate uses the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate, wherein predetermined pattern is to be built into when along with having pattern template and substrate to be directed on spatial relationship, can suppress to form in the liquid pattern of bubble when the pattern template contact liq is arranged.
52. method as claim 34, it is characterized in that, using liquid comprises to the substrate uses the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate, wherein select predetermined pattern to make the gap of liquid filling in the zone of the surf zone that is substantially equal to pattern template.
53. the method as claim 34 is characterized in that, orientation has pattern template and substrate in spatial relationship each other, comprising:
On substrate, be positioned with pattern template; With
Moved pattern template up to obtaining spatial relationship towards substrate, wherein along with template moves towards substrate, on-chip liquid is filled this gap basically.
54. the method as claim 34 is characterized in that, orientation has pattern template and substrate to comprise with the distance substrate to be positioned with pattern template less than about 200 nanometers in spatial relationship each other.
55. the method as claim 34 is characterized in that, orientation has pattern template and substrate to comprise to be positioned with pattern template with the substantially parallel direction of substrate in spatial relationship each other.
56. the method as claim 34 is characterized in that, separating from the liquid that solidifies has pattern template, comprising:
Towards nonparallel direction movable platen basically; With
Removed pattern template from substrate.
57. the method as claim 34 is characterized in that, orientation has pattern template and substrate on spatial relationship each other, comprising:
To there be pattern template to be positioned on the substrate, and wherein have pattern template non-parallel with substrate basically;
Moved pattern template towards substrate,, had pattern template to be retained in and the nonparallel basically direction of substrate wherein along with template moves towards substrate; With
With the direction orientation that is arranged essentially parallel to substrate pattern template is arranged, wherein have pattern template and substrate to have spatial relationship.
58. the method as claim 34 is characterized in that, substrate comprises silicon, gallium, germanium or indium.
59. the method as claim 34 is characterized in that substrate comprises electrolyte.
60. the method as claim 34 is characterized in that, substrate comprises quartz, sapphire, silicon dioxide or polysilicon.
61. the method as claim 34 is characterized in that, has pattern template to comprise quartz.
62. the method as claim 34 is characterized in that, has pattern template to comprise tin indium oxide.
63. the method as claim 34 is characterized in that, liquid comprises the composition of uv-curable.
64. the method as claim 34 is characterized in that liquid comprises photoresist.
65. the method as claim 34 also comprises:
Before being applied to liquid on the substrate, on substrate, form transfer printing layer; With
To have pattern template to separate the after etching transfer printing layer from substrate, wherein the etching transfer printing layer makes pattern transfer to transfer printing layer.
66. a use forms the method for repeat patterns to the figuratum template of solidifying the light substantial transparent on substrate, comprising:
Light curable liquid is applied in the first of substrate, wherein light curable liquid is solidifying the situation curable that light exists;
Locate the first of figuratum template and substrate, make figuratum template contact at least a portion place on-chip liquid, wherein figuratum template comprises the template alignment mark, and the first of substrate comprises the substrate alignment mark;
Determine the alignment of template alignment mark with respect to the substrate alignment mark;
Adjust the lap position of figuratum template with respect to the first of substrate, make template alignment mark and substrate alignment mark align fully;
Define the calibration lap position of pattern template with respect to substrate;
Adjust the space between the first of figuratum template and substrate, make the liquid of using fill up the gap between figuratum template and the substrate fully;
Application of active light arrives the liquid be positioned in the substrate first by template, and the liquid in this substrate first is solidified in the application of wherein solidifying light fully, and wherein the pattern of figuratum template forms in the liquid that solidifies; With
Solidified liquid from substrate first separates figuratum template, makes figuratum solidified liquid be formed in the first of substrate;
Using liquid is to the second portion of substrate;
Locating template on the second portion of substrate;
Readjust the lap position of figuratum template with respect to the second portion of substrate, directed with the coupling calibration;
Adjustment has the space between pattern template and the substrate second portion, makes the liquid of using fill up the gap between pattern template and the substrate basically;
Application of active light arrives the liquid be positioned on the substrate second portion by template, and the liquid on this substrate second portion is solidified in the application of wherein solidifying light fully, and wherein the pattern of figuratum template forms in the liquid that solidifies; With
Separate figuratum template from figuratum solidified liquid, make figuratum solidified liquid be formed on the second portion of substrate.
67. the method as claim 66 is characterized in that, the template alignment mark comprises the pattern that etches on the template.
68. the method as claim 66 is characterized in that, does not determine to carry out readjusting with respect to the substrate second portion lap position of figuratum template under the situation of template alignment mark with respect to the substrate alignment label alignment on the second portion.
69., also be included in template and determine X-Y displacement after being positioned on the second portion of substrate with respect to the substrate of template as the method for claim 66.
70. the method as claim 66 is characterized in that, determines that alignment comprises:
The light that applies first wavelength has passed pattern template, and wherein the light of first wavelength causes that with respect to analysis tool the substrate alignment mark focuses on and the template alignment mark is fuzzy; With
The light of using second wavelength passes figuratum template, and wherein the light of second wavelength causes that with respect to analysis tool the template alignment mark focuses on and the substrate alignment mark is fuzzy.
71. method as claim 66, it is characterized in that, definite alignment comprises uses the polarized light alignment tool, also comprise the polarizing filter system is placed between polarized light alignment tool and the figuratum template, wherein the polarizing filter system comprises basically first polaroid filter towards the substrate alignment mark, basically towards second polaroid filter of template alignment mark, the polarisation of light that wherein can pass first polaroid filter is different from the polarisation of light that can pass second polaroid filter fully
72. the method as claim 66 is characterized in that, determines that alignment comprises use wave pattern detecting device.
73. method as claim 66, it is characterized in that, template alignment mark and substrate alignment mark comprise symmetric shape how much, the alignment of wherein determining alignment mark comprises the center of determining substrate and template alignment mark, relatively the center of template alignment mark and the center of substrate alignment mark.
74. the method as claim 66 is characterized in that, adjust the lap position comprise mobile substrate make the template alignment mark basically with the substrate alignment label alignment.
75. the method as claim 66 is characterized in that, adjusts the lap position and comprises changing pattern template is arranged with respect to the angle between the substrate.
76. the method as claim 66 is characterized in that, adjusts the lap position and comprises that change has the size of pattern template.
77. the method as claim 66 is characterized in that, adjusts the lap position and comprises that by change the size that the temperature of pattern template changes pattern template is arranged.
78. the method as claim 66 is characterized in that, adjusts the lap position and comprises by changing the size that pattern template is arranged to there being on the pattern template at least a portion exert pressure.
79. the method as claim 66 is characterized in that, adjusts the lap position and comprises by at least a portion applies the size that stretching force changes pattern template on the pattern template to having.
80. the method as claim 66 is characterized in that, adjusts the lap position and comprises that change has the size of pattern template, wherein by applying the size that power changes pattern template from least one piezoelectric actuator that is connected to pattern template.
81. method as claim 66, it is characterized in that, definite alignment comprises applying analyzes light to having on the pattern template, wherein there is pattern template to constitute by first kind of material, alignment mark wherein is that second kind of material through being different from first kind of material deposits on the pattern template and form, wherein first and second materials are to being used for the active light wavelength substantial transparent of solidified liquid, wherein second kind of analyzable mark of material production when analyzing light and be applied on the pattern template.
82. method as claim 66, it is characterized in that, definite alignment comprises applying analyzes light to having on the pattern template, and wherein the template alignment mark comprises a plurality of conducts towards the etched lines of analyzing the diffraction of light grating, and wherein the template alignment mark is basically to active optical transparency.
83. the method as claim 66 is characterized in that, the step that liquid is applied to the part of substrate comprises uses the liquid distributor dispense liquid.
84. the method as claim 66 is characterized in that, liquid is applied to substrate comprises and use the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate.
85. method as claim 66, it is characterized in that, using liquid comprises to substrate uses the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate, wherein predetermined pattern is to be built into when along with having pattern template and substrate to be directed on spatial relationship, can suppress to form in the liquid pattern of bubble when the pattern template contact liq is arranged.
86. method as claim 66, it is characterized in that, using liquid comprises to the substrate uses the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate, wherein select predetermined pattern to make the gap of liquid filling in the zone of the surf zone that is substantially equal to pattern template.
87. the method as claim 66 is characterized in that, orientation has pattern template and substrate in spatial relationship each other, comprising:
On substrate, be positioned with pattern template; With
Moved pattern template up to obtaining spatial relationship towards substrate, wherein along with template moves towards substrate, on-chip liquid is filled this gap basically.
88. the method as claim 66 is characterized in that, orientation has pattern template and substrate in spatial relationship each other, comprises with the distance substrate being positioned with pattern template less than about 200 nanometers.
89. the method as claim 66 is characterized in that, orientation has pattern template and substrate in spatial relationship each other, comprises to be positioned with pattern template with the substantially parallel direction of substrate.
90. the method as claim 66 is characterized in that, separating from the liquid that solidifies has pattern template, comprising:
Towards nonparallel direction movable platen basically; With
Removed pattern template from substrate.
91. the method as claim 66 is characterized in that, orientation has pattern template and substrate on spatial relationship each other, comprising:
To there be pattern template to be positioned on the substrate, and wherein have pattern template non-parallel with substrate basically;
Moved pattern template towards substrate,, had pattern template to be retained in and the nonparallel basically direction of substrate wherein along with template moves towards substrate; With
With the direction orientation that is arranged essentially parallel to substrate pattern template is arranged, wherein have pattern template and substrate to have spatial relationship.
92. the method as claim 66 is characterized in that, substrate comprises silicon, gallium, germanium or indium.
93. the method as claim 66 is characterized in that substrate comprises electrolyte.
94. the method as claim 66 is characterized in that, substrate comprises quartz, sapphire, silicon dioxide or polysilicon.
95. the method as claim 66 is characterized in that, has pattern template to comprise quartz.
96. the method as claim 66 is characterized in that, has pattern template to comprise tin indium oxide.
97. the method as claim 66 is characterized in that liquid comprises photoresist.
98. the method as claim 66 also comprises:
Before being applied to liquid on the substrate, on substrate, form transfer printing layer; With
To have pattern template to separate the after etching transfer printing layer from substrate, wherein the etching transfer printing layer makes pattern transfer to transfer printing layer.
99. a use forms method of patterning to the figuratum template of solidifying the light substantial transparent on substrate, comprising:
Light curable liquid is applied on the part of substrate, wherein light curable liquid is solidifying the situation curable that light exists;
Adjust the space between figuratum template and the substrate, make the liquid of using fill up the gap between figuratum template and the substrate fully, wherein figuratum template comprises template alignment mark and alignment pattern, and substrate comprises the substrate alignment mark;
The described alignment pattern of aliging and described substrate alignment mark;
Use to solidify light and arrive liquid by template, this liquid is solidified in the application of wherein solidifying light fully, and described alignment pattern is forming an additional substrate alignment mark basically with in the locational solidified liquid of substrate alignment label alignment; With
Separate figuratum template from solidified liquid.
100. the method as claim 99 is characterized in that, the template alignment mark comprises the pattern that etches on the template.
101. the method as claim 99 is characterized in that, determines that alignment comprises:
The light that applies first wavelength has passed pattern template, and wherein the light of first wavelength causes that with respect to analysis tool the substrate alignment mark focuses on and the template alignment mark is fuzzy; With
The light of using second wavelength passes figuratum template, and wherein the light of second wavelength causes that with respect to analysis tool the template alignment mark focuses on and the substrate alignment mark is fuzzy.
102. method as claim 99, it is characterized in that, definite alignment comprises uses the polarized light alignment tool, also comprise the polarizing filter system is placed between polarized light alignment tool and the figuratum template, wherein the polarizing filter system comprises basically first polaroid filter towards the substrate alignment mark, basically towards second polaroid filter of template alignment mark, the polarisation of light that wherein can pass first polaroid filter is different from the polarisation of light that can pass second polaroid filter fully
103. the method as claim 99 is characterized in that, determines that alignment comprises use wave pattern detecting device.
104. the method as claim 99 is characterized in that, adjusts orientation and comprises mobile substrate, make the template alignment mark basically with the substrate alignment label alignment.
105. the method as claim 99 is characterized in that, adjusts orientation and comprises changing pattern template is arranged with respect to the angle between the substrate.
106. the method as claim 99 is characterized in that, adjusts to change the size that pattern template is arranged directed comprising.
107. the method as claim 99 is characterized in that, adjusts orientation and comprises that by change the size that the temperature of pattern template changes pattern template is arranged.
108. the method as claim 99 is characterized in that, adjusts directed comprising by changing the size that pattern template is arranged to there being on the pattern template at least a portion exert pressure.
109. the method as claim 99 is characterized in that, adjusts directed comprising by at least a portion applies the size that stretching force changes pattern template on the pattern template to having.
110. the method as claim 99 is characterized in that, adjusts to change the size that pattern template is arranged directed comprising, wherein by applying the size that power changes pattern template from least one piezoelectric actuator that is connected to pattern template.
111. method as claim 99, it is characterized in that, definite alignment comprises applying analyzes light to having on the pattern template, wherein there is pattern template to constitute by first kind of material, alignment mark wherein is that second kind of material through being different from first kind of material deposits on the pattern template and form, wherein first and second materials are to being used for the active light wavelength substantial transparent of solidified liquid, wherein second kind of analyzable mark of material production when analyzing light and be applied on the pattern template.
112. method as claim 99, it is characterized in that, definite alignment comprises applying analyzes light to having on the pattern template, and wherein the template alignment mark comprises a plurality of conducts towards the etched lines of analyzing the diffraction of light grating, and wherein the template alignment mark is basically to active optical transparency.
113. the method as claim 99 is characterized in that, the step that liquid is applied to the part of substrate comprises uses the liquid distributor dispense liquid.
114. the method as claim 99 is characterized in that, liquid is applied to substrate comprises and use the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate.
115. method as claim 99, it is characterized in that, using liquid comprises to substrate uses the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate, wherein predetermined pattern is to be built into when along with having pattern template and substrate to be directed on spatial relationship, can suppress to form in the liquid pattern of bubble when the pattern template contact liq is arranged.
116. method as claim 99, it is characterized in that, using liquid comprises to the substrate uses the liquid distributor dispense liquid, also be included in dispense liquid when creating predetermined pattern with respect to the liquid distributor mobile substrate, wherein select predetermined pattern to make the gap of liquid filling in the zone of the surf zone that is substantially equal to pattern template.
117. the method as claim 99 is characterized in that, orientation has pattern template and substrate to comprise in spatial relationship each other:
On substrate, be positioned with pattern template; With
Moved pattern template up to obtaining spatial relationship towards substrate, wherein along with template moves towards substrate, on-chip liquid is filled this gap basically.
118. the method as claim 99 is characterized in that, orientation has pattern template and substrate to comprise with the distance substrate to be positioned with pattern template less than about 200 nanometers in spatial relationship each other.
119. the method as claim 99 is characterized in that, orientation has pattern template and substrate to comprise to be positioned with pattern template with the substantially parallel direction of substrate in spatial relationship each other.
120. the method as claim 99 is characterized in that, separating from the liquid that solidifies has pattern template, comprising:
Towards nonparallel direction movable platen basically; With
Removed pattern template from substrate.
121. the method as claim 99 is characterized in that, orientation has pattern template and substrate on spatial relationship each other, comprising:
To there be pattern template to be positioned on the substrate, and wherein have pattern template non-parallel with substrate basically;
Moved pattern template towards substrate,, had pattern template to be retained in and the nonparallel basically direction of substrate wherein along with template moves towards substrate; With
With the direction orientation that is arranged essentially parallel to substrate pattern template is arranged, wherein have pattern template and substrate to have spatial relationship.
122. the method as claim 99 is characterized in that, substrate comprises silicon, gallium, germanium or indium.
123. the method as claim 99 is characterized in that substrate comprises electrolyte.
124. the method as claim 99 is characterized in that, substrate comprises quartz, sapphire, silicon dioxide or polysilicon.
125. the method as claim 99 is characterized in that, has pattern template to comprise quartz.
126. the method as claim 99 is characterized in that, has pattern template to comprise tin indium oxide.
127. the method as claim 99 is characterized in that, liquid comprises the composition of uv-curable.
128. the method as claim 99 is characterized in that liquid comprises photoresist.
129. the method as claim 99 also comprises:
Before being applied to liquid on the substrate, on substrate, form transfer printing layer; With
To have pattern template to separate the after etching transfer printing layer from substrate, wherein the etching transfer printing layer makes pattern transfer to transfer printing layer.
130. one kind align substrate and with the method that pattern template is arranged of described dice spaced, be filled with fluent material in the wherein said interval, the substrate alignment mark is arranged above the described substrate, the template alignment mark is arranged on the described template, described method comprises:
Adjust the distance between described figuratum template and the described substrate, make described material not only contact described figuratum template but also contact described substrate, limit a contact area; With
Change the lap position of described figuratum template with respect to described substrate, make described template alignment mark and described substrate alignment mark substantial alignment and wherein have spacing, the viscosity relevant according to described material, to reduce the resistance of motion that causes owing to described properties of materials between described figuratum template and described substrate, described characteristic comprises static friction.
131. as the method for claim 130, wherein regulate described distance and also comprise with described liquid and contact described figuratum template and described substrate, keep described template alignment mark and described substrate alignment to be marked at outside the described contact area simultaneously.
132. the method for claim 131 also is included in after the described lap position of change, reduces described distance to expand described contact area, and described contact area is overlapped with described template alignment mark and described substrate alignment mark.
133. the method for claim 130 is wherein regulated described distance and also is included in before the described lap position of change, contacts described material it is overlapped with described template alignment mark and described substrate alignment mark.
134. claim 130,131,132 or 133 method, also be included in a plurality of patterns of regional record of the independent allocation of described material, make the subclass of described a plurality of patterns be positioned at the different piece of described material, and, make described template alignment mark and described substrate alignment mark substantial alignment by repeating to adjust described distance with respect to described substrate and change the described described lap position that pattern template arranged to obtain required alignment between described figuratum template and each the described different piece in each described different piece.
135. claim 130,131,132 or 133 method, also be included in a plurality of patterns of record on the described material, make the subclass of described a plurality of patterns be positioned at the different piece of described material, and by repeating to adjust described distance with respect to described substrate and change the described described lap position that pattern template arranged to obtain required alignment between described figuratum template and each the described different piece at the subclass place of described different piece, limit the initial alignment part, make the remainder of described different piece form other subclass, determine figuratum template and aliging between the described substrate at the part place relevant with described other subclass, as the function of the measurement of the relative motion between described figuratum template and the described substrate, wherein said substrate is measured by described preliminary aligned portions.
136. claim 130,131,132 or 133 method, also comprise by curing light is projected on the described material, described material is solidified basically and on described material recording figure forming, limit curing materials, and separate described figuratum template from described curing materials.
137. claim 130,131,132 or 133 method, wherein change described lap position and also comprise the described alignment of determining between described figuratum template and the described substrate, comprise that the light of using first wavelength is by described figuratum template, the light of wherein said first wavelength causes with respect to analysis tool focuses on described substrate alignment mark, and makes described template alignment mark fuzzy; The light of using second wavelength is by described figuratum template, and the light of wherein said second wavelength causes with respect to described analysis tool focuses on described template alignment mark, and causes that described substrate alignment mark is fuzzy.
138. claim 130,131,132 or 133 method, wherein changing described lap position also comprises by providing polarized light alignment tool and polarizing filter system to determine described alignment between described figuratum template and the described substrate, described polarizing filter system is placed between described polarized light alignment tool and the described figuratum template, wherein said polarizing filter system comprises basically first polaroid filter towards described substrate alignment mark, basically towards second polaroid filter of described template alignment mark, wherein can be different from basically by the described polarisation of light of described first polaroid filter can by the described polarisation of light of several second polaroid filters.
139. claim 130,131,132 or 133 method, also comprise with how much symmetric shapes described template alignment mark and described substrate alignment mark are provided, wherein change described lap position and also comprise the alignment of determining between described figuratum template and the described substrate, comprise the center of determining described substrate and described template alignment mark, and the center of the center of more described template alignment mark and described substrate alignment mark.
140. claim 130,131,132 or 133 method are wherein adjusted described lap position and are comprised and change the described size that pattern template is arranged.
141. claim 130,131,132 or 133 method are wherein adjusted described lap position and are comprised by changing describedly having the temperature of pattern template to change the described size that pattern template is arranged.
142. claim 130,131,132 or 133 method are wherein adjusted described lap position and are comprised by exerting pressure on described at least a portion that pattern template arranged and change the described size that pattern template is arranged.
143. claim 130,131,132 or 133 method are wherein adjusted described lap position and are comprised by apply stretching force on described at least a portion that pattern template arranged and change the described size that pattern template is arranged.
144. claim 130,131,132 or 133 method, wherein changing described lap position also comprises by applying and analyzes light and to described the described alignment of determining on the pattern template between described figuratum template and the described substrate arranged, wherein there is pattern template to constitute by first kind of material, wherein said alignment mark be second kind of material through being different from first kind of material deposit to described have on the pattern template form, wherein said first and second materials are to being used to solidify the active light wavelength substantial transparent of described fluent material, wherein are applied to the described analyzable mark that described second kind of material production has sharp contrast when having on the pattern template when analyzing light.
145. claim 130,131,132 or 133 method also are included in and apply the transfer printing layer that forms described substrate before the described fluent material.
CNB018155057A 2000-07-16 2001-07-16 High-resolution overlay alignment methods and systems for imprint lithography Expired - Lifetime CN100504598C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21856800P 2000-07-16 2000-07-16
US60/218,568 2000-07-16

Publications (2)

Publication Number Publication Date
CN1476551A CN1476551A (en) 2004-02-18
CN100504598C true CN100504598C (en) 2009-06-24

Family

ID=22815618

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018155057A Expired - Lifetime CN100504598C (en) 2000-07-16 2001-07-16 High-resolution overlay alignment methods and systems for imprint lithography

Country Status (8)

Country Link
US (9) US6921615B2 (en)
EP (4) EP2264522A3 (en)
JP (4) JP4511786B2 (en)
KR (1) KR100862301B1 (en)
CN (1) CN100504598C (en)
AU (1) AU2001273491A1 (en)
SG (1) SG142150A1 (en)
WO (1) WO2002008835A2 (en)

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001009927A1 (en) * 1999-07-28 2001-02-08 Infineon Technologies North America Corp. Semiconductor structures and manufacturing methods
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
CN100504598C (en) * 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 High-resolution overlay alignment methods and systems for imprint lithography
AU2001277907A1 (en) 2000-07-17 2002-01-30 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
KR20030040378A (en) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
EP2306242A3 (en) * 2000-10-12 2011-11-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
EP1331084B1 (en) * 2002-01-25 2004-03-10 Leister Process Technologies Process for shaping micro and nano structures
JP2003257828A (en) * 2002-03-01 2003-09-12 Nec Electronics Corp Method of manufacturing semiconductor device
JP4411575B2 (en) 2002-04-25 2010-02-10 セイコーエプソン株式会社 Electronic device manufacturing equipment
US6861365B2 (en) * 2002-06-28 2005-03-01 Hewlett-Packard Development Company, L.P. Method and system for forming a semiconductor device
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
AU2003261317A1 (en) * 2002-08-01 2004-02-23 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
AU2003254297A1 (en) * 2002-08-01 2004-02-23 Proterion Corporation Optical membrane formation system and method
JP2005537656A (en) * 2002-08-27 2005-12-08 オブデュキャット、アクチボラグ Device for transferring a pattern to an object
ITMI20021961A1 (en) * 2002-09-16 2004-03-17 Consiglio Nazionale Ricerche PROCEDURE FOR THE MANUFACTURE AND CONTROL THROUGH MICRO- AND NANOMETRIC STAIRS MOLDING OF STRUCTURES AND REASONS OF SOLUBLE AND COLLOIDAL SUBSTANCES WITH REDUCTION OF THE DIMENSIONS OF THE REASONS OF THE MOLD.
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
EP1571696A4 (en) * 2002-12-10 2008-03-26 Nikon Corp Exposure apparatus and method for manufacturing device
US7242455B2 (en) 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
AU2003300865A1 (en) * 2002-12-13 2004-07-09 Molecular Imprints, Inc. Magnification corrections employing out-of-plane distortions on a substrate
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20040209123A1 (en) * 2003-04-17 2004-10-21 Bajorek Christopher H. Method of fabricating a discrete track recording disk using a bilayer resist for metal lift-off
US6926921B2 (en) * 2003-05-05 2005-08-09 Hewlett-Packard Development Company, L.P. Imprint lithography for superconductor devices
JP4937750B2 (en) * 2003-05-14 2012-05-23 モレキュラー・インプリンツ・インコーポレーテッド Method, system, holder, assembly for moving a template during an imprint lithography process
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US7323358B1 (en) * 2003-08-13 2008-01-29 Hewlett-Packard Development Company, L.P. Method and system for sizing a load plate
DE10343323A1 (en) * 2003-09-11 2005-04-07 Carl Zeiss Smt Ag Stamp lithography method and device and stamp for the stamp lithograph
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
EP1526411A1 (en) * 2003-10-24 2005-04-27 Obducat AB Apparatus and method for aligning surface
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
KR101117437B1 (en) * 2003-12-27 2012-02-29 엘지디스플레이 주식회사 Method and Apparatus for Fabricating Flat Panel Display
US20050151282A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece handler and alignment assembly
US20050151300A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece isothermal imprinting
US20050150862A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece alignment assembly
US7686606B2 (en) * 2004-01-20 2010-03-30 Wd Media, Inc. Imprint embossing alignment system
US20050155554A1 (en) * 2004-01-20 2005-07-21 Saito Toshiyuki M. Imprint embossing system
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
KR100585951B1 (en) * 2004-02-18 2006-06-01 한국기계연구원 A construction/separation type individually actuating imprinting apparatus
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7229266B2 (en) * 2004-03-23 2007-06-12 Komag, Inc. Press die alignment
JP4481698B2 (en) * 2004-03-29 2010-06-16 キヤノン株式会社 Processing equipment
DE102004028851B4 (en) * 2004-03-31 2006-04-13 Infineon Technologies Ag Method and device for measuring a surface profile of a sample
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7768624B2 (en) 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
KR101175108B1 (en) * 2004-06-03 2012-08-21 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 System and method for improvement of alignment and overlay for microlithography
DE602005022874D1 (en) * 2004-06-03 2010-09-23 Molecular Imprints Inc FLUID AND DROP EXPOSURE AS REQUIRED FOR MANUFACTURE IN THE NANO AREA
JP4574240B2 (en) * 2004-06-11 2010-11-04 キヤノン株式会社 Processing apparatus, processing method, device manufacturing method
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
JP4704434B2 (en) * 2004-10-08 2011-06-15 ダウ・コーニング・コーポレイション Lithographic processes and patterns using phase change compositions
US7379184B2 (en) * 2004-10-18 2008-05-27 Nanometrics Incorporated Overlay measurement target
US7650029B2 (en) * 2004-11-23 2010-01-19 Hewlett-Packard Development Company, L.P. Multiple layer alignment sensing
US7226797B2 (en) * 2004-11-23 2007-06-05 Hewlett-Packard Development Company, L.P. Sensing alignment of multiple layers
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
KR20070086766A (en) * 2004-12-01 2007-08-27 몰레큘러 임프린츠 인코퍼레이티드 Methods of exposure for the purpose of thermal management for imprint lithography processes
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
JP2006165371A (en) * 2004-12-09 2006-06-22 Canon Inc Transfer apparatus and device manufacturing method
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
KR100623209B1 (en) 2005-01-11 2006-09-13 한국기계연구원 Microcontact printing device using pdms stamp
US20060157898A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Imprint reference template for multilayer or multipattern registration and method therefor
WO2006083520A2 (en) * 2005-01-31 2006-08-10 Molecular Imprints, Inc. Method of separating a mold from a solidified layer disposed on a substrate
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US20060177532A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
WO2006093722A2 (en) * 2005-02-25 2006-09-08 Accent Optical Technologies, Inc. Methods and systems for determining overlay error based on target image symmetry
US7808643B2 (en) * 2005-02-25 2010-10-05 Nanometrics Incorporated Determining overlay error using an in-chip overlay target
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US20060240344A1 (en) * 2005-04-20 2006-10-26 Affymetrix, Inc. Method of manufacture of polymer arrays
US8414908B2 (en) 2005-04-28 2013-04-09 The Regents Of The University Of California Compositions comprising nanostructures for cell, tissue and artificial organ growth, and methods for making and using same
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7767129B2 (en) * 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
CN100503265C (en) * 2005-06-08 2009-06-24 佳能株式会社 Mold, pattern forming method, and pattern forming apparatus
JP4290177B2 (en) 2005-06-08 2009-07-01 キヤノン株式会社 Mold, alignment method, pattern forming apparatus, pattern transfer apparatus, and chip manufacturing method
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7771917B2 (en) * 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US20070008865A1 (en) * 2005-07-08 2007-01-11 Nanochip, Inc. High density data storage devices with polarity-dependent memory switching media
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
JP4262267B2 (en) * 2005-09-06 2009-05-13 キヤノン株式会社 MOLD, IMPRINT APPARATUS AND DEVICE MANUFACTURING METHOD
JP4330168B2 (en) * 2005-09-06 2009-09-16 キヤノン株式会社 Mold, imprint method, and chip manufacturing method
US7316554B2 (en) 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
JP5268239B2 (en) * 2005-10-18 2013-08-21 キヤノン株式会社 Pattern forming apparatus and pattern forming method
US20070267764A1 (en) * 2005-10-25 2007-11-22 Dai Nippon Printing Co., Ltd. Mold for photocuring nano-imprint and its fabrication process
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US7690910B2 (en) 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
TW200815912A (en) * 2006-04-03 2008-04-01 Molecular Imprints Inc Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
JP4795300B2 (en) * 2006-04-18 2011-10-19 キヤノン株式会社 Alignment method, imprint method, alignment apparatus, imprint apparatus, and position measurement method
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
KR101261606B1 (en) 2006-05-09 2013-05-09 삼성디스플레이 주식회사 Apparatus for manufacturing a display panel and method for manufacturing the same
DE102006022882B4 (en) * 2006-05-15 2016-04-14 Immobiliengesellschaft Helmut Fischer Gmbh & Co. Kg Device for measuring the thickness of thin layers with a measuring probe
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US20080023885A1 (en) * 2006-06-15 2008-01-31 Nanochip, Inc. Method for forming a nano-imprint lithography template having very high feature counts
US8015939B2 (en) * 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8707890B2 (en) * 2006-07-18 2014-04-29 Asml Netherlands B.V. Imprint lithography
US7613538B2 (en) * 2006-07-24 2009-11-03 Hewlett-Packard Development Company, L.P. Compensation for distortion in contact lithography
KR101290598B1 (en) 2006-09-07 2013-07-29 엘지디스플레이 주식회사 Method of fabricating the color filter substrate for Liquid crystal display device
US7780431B2 (en) * 2006-09-14 2010-08-24 Hewlett-Packard Development Company, L.P. Nanoimprint molds and methods of forming the same
JP5027468B2 (en) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 Probe cleaning or probe processing sheet and probe processing method
US7985530B2 (en) 2006-09-19 2011-07-26 Molecular Imprints, Inc. Etch-enhanced technique for lift-off patterning
US20080090312A1 (en) * 2006-10-17 2008-04-17 Inkyu Park LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL
US7612882B2 (en) * 2006-10-20 2009-11-03 Hewlett-Packard Development Company, L.P. Optical gratings, lithography tools including such optical gratings and methods for using same for alignment
TW200819546A (en) * 2006-10-30 2008-05-01 Jinn P Chu In-air micro and nanoimprint of bulk metallic glasses and a method for making the same
US8377361B2 (en) * 2006-11-28 2013-02-19 Wei Zhang Imprint lithography with improved substrate/mold separation
KR100790899B1 (en) * 2006-12-01 2008-01-03 삼성전자주식회사 Template with alignment mark and manufacturing method for the same
US20080303187A1 (en) * 2006-12-29 2008-12-11 Molecular Imprints, Inc. Imprint Fluid Control
KR101348184B1 (en) * 2007-01-19 2014-01-07 삼성디스플레이 주식회사 Impriting apparatus and imprint method
KR101238137B1 (en) 2007-02-06 2013-02-28 캐논 가부시끼가이샤 Imprint method and imprint apparatus
US7749422B2 (en) * 2007-03-30 2010-07-06 International Business Machines Corporation Release layer for imprinted photocationic curable resins
KR20080096901A (en) * 2007-04-30 2008-11-04 삼성전자주식회사 Imprint method and method of manufacturing a display substrate by using the imprint method
KR100931603B1 (en) * 2007-05-10 2009-12-14 주식회사 에이디피엔지니어링 Imprint process system and pattern formation method
JP2010537395A (en) * 2007-05-30 2010-12-02 モレキュラー・インプリンツ・インコーポレーテッド Template with silicon nitride, silicon carbide, or silicon oxynitride film
WO2008151107A2 (en) * 2007-06-01 2008-12-11 Massachusetts Institute Of Technology High-resolution flexural stage for in-plane position and out-of-plane pitch/roll alignment
JP5570688B2 (en) * 2007-06-28 2014-08-13 ピーエスフォー ルクスコ エスエイアールエル Fine resist pattern forming method and nanoimprint mold structure
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
JP2009034926A (en) * 2007-08-02 2009-02-19 Sumitomo Electric Ind Ltd Resin pattern formation method
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
KR20090029320A (en) * 2007-09-18 2009-03-23 삼성전자주식회사 Imprinting method, method of manufacturing a thin film transistor substrate by using the imprinting method and method of manufacturing a color filter substrate by using the imprint method
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US8945444B2 (en) 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
NL1036215A1 (en) * 2007-12-11 2009-06-15 Asml Netherlands Bv Lithographic method and carrier substrate.
US8012394B2 (en) * 2007-12-28 2011-09-06 Molecular Imprints, Inc. Template pattern density doubling
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
WO2009129441A2 (en) * 2008-04-17 2009-10-22 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
US20090263729A1 (en) * 2008-04-21 2009-10-22 Micron Technology, Inc. Templates for imprint lithography and methods of fabricating and using such templates
US20090294028A1 (en) * 2008-06-03 2009-12-03 Nanochip, Inc. Process for fabricating high density storage device with high-temperature media
JP5123059B2 (en) * 2008-06-09 2013-01-16 株式会社東芝 Manufacturing method of semiconductor device
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US20100039919A1 (en) * 2008-08-15 2010-02-18 Nanochip, Inc. Cantilever Structure for Use in Seek-and-Scan Probe Storage
US20100078846A1 (en) * 2008-09-30 2010-04-01 Molecular Imprints, Inc. Particle Mitigation for Imprint Lithography
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US8562892B2 (en) * 2008-10-14 2013-10-22 The Regents Of The University Of California Mechanical process for producing particles in a fluid
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
JP4825891B2 (en) * 2009-03-31 2011-11-30 株式会社東芝 Semiconductor device manufacturing method and template
US8339573B2 (en) * 2009-05-27 2012-12-25 3M Innovative Properties Company Method and apparatus for photoimaging a substrate
US8378252B2 (en) * 2009-05-29 2013-02-19 Electro Scientific Industries, Inc. Method and apparatus for hybrid resolution feedback of a motion stage
NL2004932A (en) 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography template.
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
US20110085968A1 (en) * 2009-10-13 2011-04-14 The Regents Of The University Of California Articles comprising nano-materials for geometry-guided stem cell differentiation and enhanced bone growth
NL2005266A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
US8514374B2 (en) * 2009-11-04 2013-08-20 International Business Machines Corporation Alignment method for semiconductor processing
US9330685B1 (en) 2009-11-06 2016-05-03 WD Media, LLC Press system for nano-imprinting of recording media with a two step pressing method
US8402638B1 (en) 2009-11-06 2013-03-26 Wd Media, Inc. Press system with embossing foil free to expand for nano-imprinting of recording media
US8496466B1 (en) 2009-11-06 2013-07-30 WD Media, LLC Press system with interleaved embossing foil holders for nano-imprinting of recording media
WO2011077783A1 (en) * 2009-12-24 2011-06-30 シャープ株式会社 Display module and method for manufacturing display module
WO2011097514A2 (en) * 2010-02-05 2011-08-11 Molecular Imprints, Inc. Templates having high contrast alignment marks
KR20110092546A (en) * 2010-02-09 2011-08-18 삼성전자주식회사 Apparatus for nano imprint and method of fabricating semiconductor device using the same
JP5451450B2 (en) * 2010-02-24 2014-03-26 キヤノン株式会社 Imprint apparatus, template thereof, and article manufacturing method
JP5574801B2 (en) * 2010-04-26 2014-08-20 キヤノン株式会社 Imprint apparatus and article manufacturing method
JP2012064810A (en) * 2010-09-16 2012-03-29 Toshiba Corp Template for nanoimprint and pattern transfer device
JP5002695B2 (en) 2010-09-24 2012-08-15 株式会社東芝 Micromachining method, micromachining apparatus, and micromachining program
JP5404570B2 (en) 2010-09-24 2014-02-05 株式会社東芝 Drip control method and drip control device
US8828297B2 (en) * 2010-11-05 2014-09-09 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
TWI542952B (en) 2010-12-02 2016-07-21 Asml控股公司 Patterning device support
JP5850717B2 (en) * 2010-12-02 2016-02-03 キヤノン株式会社 Imprint apparatus and article manufacturing method using the same
JP5821100B2 (en) * 2010-12-17 2015-11-24 カール ツァイス エスエムエス ゲーエムベーハー Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
JP5744548B2 (en) * 2011-02-02 2015-07-08 キヤノン株式会社 Holding device, imprint apparatus using the same, and article manufacturing method
JP5759195B2 (en) * 2011-02-07 2015-08-05 キヤノン株式会社 Mold, imprint method and article manufacturing method
US8967992B2 (en) * 2011-04-25 2015-03-03 Canon Nanotechnologies, Inc. Optically absorptive material for alignment marks
US20140131912A1 (en) * 2011-05-04 2014-05-15 The University Of Akron Suppression of dewetting of polymer films via inexpensive soft lithograpy
SG188770A1 (en) * 2011-09-22 2013-04-30 Agency Science Tech & Res A process for making a patterned metal oxide structure
US9599525B2 (en) * 2011-09-30 2017-03-21 Sensitronics, LLC Pre-loaded force sensors
JP6021606B2 (en) * 2011-11-28 2016-11-09 キヤノン株式会社 Imprint apparatus, article manufacturing method using the same, and imprint method
JP5930699B2 (en) * 2011-12-20 2016-06-08 キヤノン株式会社 Imprint apparatus, imprint method, and device manufacturing method
JP6066565B2 (en) 2012-01-31 2017-01-25 キヤノン株式会社 Imprint apparatus and article manufacturing method
JP5948102B2 (en) * 2012-03-26 2016-07-06 株式会社Screenホールディングス Transfer apparatus and transfer method
US8834146B2 (en) 2012-10-24 2014-09-16 Massachusetts Institute Of Technology System for passive alignment of surfaces
US20140205702A1 (en) * 2013-01-24 2014-07-24 Kabushiki Kaisha Toshiba Template, manufacturing method of the template, and position measuring method in the template
US20140209567A1 (en) * 2013-01-29 2014-07-31 Kabushiki Kaisha Toshiba Template, manufacturing method of the template, and strain measuring method in the template
US9385089B2 (en) 2013-01-30 2016-07-05 Seagate Technology Llc Alignment mark recovery with reduced topography
US9426886B2 (en) 2013-01-30 2016-08-23 Seagate Technology Llc Electrical connection with reduced topography
KR102094974B1 (en) * 2013-03-08 2020-03-30 삼성전자주식회사 Methods for overlay measurements
US9343089B2 (en) 2013-03-08 2016-05-17 Seagate Technology Llc Nanoimprint lithography for thin film heads
JP6060796B2 (en) * 2013-04-22 2017-01-18 大日本印刷株式会社 Imprint mold and dummy pattern design method
US10118315B1 (en) * 2013-05-06 2018-11-06 Surfx Technologies Llc Preparing tool surfaces for composites
JP6418773B2 (en) 2013-05-14 2018-11-07 キヤノン株式会社 Imprint apparatus, imprint method, and article manufacturing method
JP6333039B2 (en) 2013-05-16 2018-05-30 キヤノン株式会社 Imprint apparatus, device manufacturing method, and imprint method
JP6120678B2 (en) * 2013-05-27 2017-04-26 キヤノン株式会社 Imprint method, imprint apparatus and device manufacturing method
JP6315904B2 (en) 2013-06-28 2018-04-25 キヤノン株式会社 Imprint method, imprint apparatus, and device manufacturing method
JP5960198B2 (en) 2013-07-02 2016-08-02 キヤノン株式会社 Pattern forming method, lithographic apparatus, lithographic system, and article manufacturing method
JP6282069B2 (en) * 2013-09-13 2018-02-21 キヤノン株式会社 Imprint apparatus, imprint method, detection method, and device manufacturing method
US9853229B2 (en) 2013-10-23 2017-12-26 University Of Southern California Organic electroluminescent materials and devices
US9563946B2 (en) * 2014-07-22 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay metrology method and overlay control method and system
WO2016065308A1 (en) * 2014-10-23 2016-04-28 Board Of Regents, The University Of Texas System Nanoshape patterning techniques that allow high-speed and low-cost fabrication of nanoshape structures
JP6478635B2 (en) * 2015-01-05 2019-03-06 キヤノン株式会社 Imprint apparatus, imprint method, and article manufacturing method
JP6011671B2 (en) * 2015-04-02 2016-10-19 大日本印刷株式会社 Imprint substrate and imprint method
JP2018526812A (en) * 2015-06-15 2018-09-13 ザイゴ コーポレーションZygo Corporation Displacement measurement
US10156786B2 (en) 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
IL258703B2 (en) 2015-10-15 2023-11-01 Univ Texas Versatile process for precision nanoscale manufacturing
DE102015120535A1 (en) 2015-11-26 2017-06-01 Leibniz-Institut für Oberflächenmodifizierung e.V. Apparatus and method for producing a double-sided microstructured film
US11131922B2 (en) 2016-06-06 2021-09-28 Canon Kabushiki Kaisha Imprint lithography template, system, and method of imprinting
KR20180023102A (en) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 Wire grid pattern and method for fabricating the same
JP7164289B2 (en) 2016-09-05 2022-11-01 東京エレクトロン株式会社 Position-Specific Tuning of Bow-Controlling Stress to Control Overlay During Semiconductor Processing
CN109937127B (en) * 2016-10-18 2021-06-08 分子印记公司 Microlithographic fabrication of structures
WO2018119451A1 (en) * 2016-12-23 2018-06-28 Board Of Regents, The University Of Texas System Heterogeneous integration of components onto compact devices using moire based metrology and vacuum based pick-and-place
JP6342570B1 (en) * 2016-12-27 2018-06-13 株式会社アルバック Gap measurement method
JP7425602B2 (en) 2017-03-08 2024-01-31 キヤノン株式会社 Pattern forming method, method for manufacturing processed substrates, optical components and quartz mold replicas, imprint pre-treatment coating material and set thereof with imprint resist
WO2018164017A1 (en) * 2017-03-08 2018-09-13 キヤノン株式会社 Production method for cured product pattern, production method for optical component, circuit board and quartz mold replica, and imprint pretreatment coating material and cured product thereof
US10866510B2 (en) 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
JP6957281B2 (en) * 2017-09-12 2021-11-02 キオクシア株式会社 Template manufacturing method and semiconductor device manufacturing method
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same
US10409178B2 (en) 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
EP3657114B1 (en) 2018-11-26 2021-06-16 Alfa Laval Corporate AB Heat transfer plate
US10901327B2 (en) * 2018-12-20 2021-01-26 Canon Kabushiki Kaisha Automatic defect analyzer for nanoimprint lithography using image analysis
JP7222702B2 (en) * 2018-12-26 2023-02-15 三星電子株式会社 WAFER BONDING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND THEREOF
DE102019101346A1 (en) 2019-01-18 2020-07-23 Osram Opto Semiconductors Gmbh NANOSTAMPING PROCESS AND NANOOPTIC COMPONENT
NL2023097B1 (en) * 2019-05-09 2020-11-30 Suss Microtec Lithography Gmbh Stamp replication device and method for producing a holding means for a stamp replication device as well as a stamp
US20220223451A1 (en) * 2019-05-22 2022-07-14 Vuereal Inc. Systems and methods for transferring devices or patterns to a substrate
KR102168476B1 (en) * 2019-08-27 2020-10-21 한국기계연구원 Fabricating apparatus and method for transparent stamp, the same transparent stamp made by the apparatus and imprint lithography method with the same transparent stamp
CN114303100A (en) * 2019-08-29 2022-04-08 Asml控股股份有限公司 On-chip sensor for wafer overlay measurement
US11656546B2 (en) 2020-02-27 2023-05-23 Canon Kabushiki Kaisha Exposure apparatus for uniform light intensity and methods of using the same
CN115280187A (en) * 2020-03-12 2022-11-01 奇跃公司 Method and apparatus for casting optical polymer films
US11443940B2 (en) 2020-06-24 2022-09-13 Canon Kabushiki Kaisha Apparatus for uniform light intensity and methods of using the same
CN116917805A (en) 2021-01-20 2023-10-20 应用材料公司 Anti-skid die drop ring
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
FR2325018A1 (en) 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
IT1068535B (en) 1975-11-03 1985-03-21 Ibm APPARATUS AND GRAPHIC ELECTROLYTE PROCESS
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4119588A (en) 1976-09-14 1978-10-10 The B. F. Goodrich Company Reversion resistant rubber compositions with thio carbamyl sulfenamides
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
GB1578259A (en) 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (en) 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
DE3377597D1 (en) 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5554336A (en) 1984-08-08 1996-09-10 3D Systems, Inc. Method and apparatus for production of three-dimensional objects by stereolithography
JPS61116358A (en) 1984-11-09 1986-06-03 Mitsubishi Electric Corp Photomask material
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6334108A (en) * 1986-07-30 1988-02-13 Hitachi Ltd Manufacture of substrate for optical disc and device therefor
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (en) 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4772878A (en) * 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (en) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 Fine pattern forming method
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0224848A (en) 1988-07-14 1990-01-26 Canon Inc Production of substrate for optical recording medium
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) * 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
JPH0292603A (en) 1988-09-30 1990-04-03 Hoya Corp Manufacture of data recording board with guide groove
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
ES2103261T3 (en) 1989-04-24 1997-09-16 Siemens Ag PROCEDURE FOR THE GENERATION OF CORROSION RESISTANT STRUCTURES.
JP3001607B2 (en) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) * 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5151754A (en) * 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (en) 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (en) 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
JP2524436B2 (en) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Surface treatment method
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JP2796899B2 (en) 1991-02-16 1998-09-10 住友重機械工業株式会社 Illumination method for band light and multicolor light in a chromatic aberration double focus device
DE4108539A1 (en) 1991-03-15 1992-09-17 Hoesch Metall & Kunststoffwerk METHOD FOR DISINFECTING PIPING SYSTEMS OF SANITARY SYSTEMS AND WHIRLPOOL SYSTEMS FOR IMPLEMENTING THE METHOD
US5155749A (en) * 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (en) * 1991-08-22 1993-03-05 Nec Corp Production of phase shift reticle
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
CA2078930C (en) * 1991-09-24 1999-08-03 Raphael L. Levien Register mark
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (en) 1992-01-31 2000-08-07 キヤノン株式会社 Position shift correction method
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
DK0567831T3 (en) 1992-04-28 2003-06-10 Sika Schweiz Ag Curing agent for aqueous epoxide resin dispersions, process for its preparation and its use
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) * 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JPH06183561A (en) 1992-12-18 1994-07-05 Canon Inc Moving stage device
JP2821073B2 (en) 1992-12-18 1998-11-05 松下電器産業株式会社 Gap control device and gap control method
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
JP3325351B2 (en) 1993-08-18 2002-09-17 株式会社東芝 Semiconductor device
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
KR970009858B1 (en) 1994-01-12 1997-06-18 엘지반도체 주식회사 Multi-layer photoresist patterning method
US5573877A (en) * 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5477058A (en) 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
JPH0963929A (en) * 1995-08-25 1997-03-07 Nippon Telegr & Teleph Corp <Ntt> X-ray exposure system and method using the same
JPH0988506A (en) 1995-09-21 1997-03-31 Ngk Insulators Ltd Blade for hybrid type gas turbine moving blade and turbine disc and hybrid type gas turbine moving blade consisting of them
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) * 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
US5802914A (en) * 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5717518A (en) * 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US6753131B1 (en) * 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US6036055A (en) * 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
JPH10172897A (en) * 1996-12-05 1998-06-26 Nikon Corp Substrate adaptor, substrate holder and method for holding substrate
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5757160A (en) * 1996-12-23 1998-05-26 Svg Lithography Systems, Inc. Moving interferometer wafer stage
US20040022666A1 (en) * 1998-06-30 2004-02-05 Invitrogen Corporation Methods for reducing adventitious agents and toxins and cell culture reagents produced thereby
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
US6051179A (en) * 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
JP3296239B2 (en) 1997-03-27 2002-06-24 ウシオ電機株式会社 Proximity exposure apparatus with gap setting mechanism
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
AU3818997A (en) 1997-07-25 1999-02-16 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5988859A (en) * 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
US6069655A (en) 1997-08-01 2000-05-30 Wells Fargo Alarm Services, Inc. Advanced video security system
JPH11126401A (en) 1997-10-20 1999-05-11 Sony Corp Recording and reproducing device
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US6539286B1 (en) * 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
WO1999045179A1 (en) * 1998-03-05 1999-09-10 Obducat Ab Method of etching
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
SE514520C2 (en) * 1998-03-05 2001-03-05 Etchtech Sweden Ab Pattern board, substrate or semiconductor tray with a conductor with etched surface structure
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
US6239590B1 (en) * 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
WO2000021689A1 (en) 1998-10-09 2000-04-20 The Trustees Of Princeton University Microscale patterning and articles formed thereby
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6437891B1 (en) * 1998-10-27 2002-08-20 Agere Systems Guardian Corp. Integrated dual-wavelength transceiver
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
JP4846888B2 (en) 1998-12-01 2011-12-28 キヤノン株式会社 Alignment method
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6251207B1 (en) * 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US6387797B1 (en) * 1999-01-20 2002-05-14 Philips Electronics No. America Corp. Method for reducing the capacitance between interconnects by forming voids in dielectric material
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4286374B2 (en) 1999-03-30 2009-06-24 新日鐵化学株式会社 Silicone resin and photosensitive resin composition containing the same
JP4151151B2 (en) 1999-04-06 2008-09-17 松下電器産業株式会社 Paste coating apparatus and paste coating method for die bonding
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP2000309037A (en) * 1999-04-27 2000-11-07 Sodick Co Ltd Mold attaching device for injection molding machine
JP2000323461A (en) * 1999-05-11 2000-11-24 Nec Corp Fine pattern forming device, its manufacture, and method of forming the same
CA2374285A1 (en) * 1999-05-20 2000-11-30 Lancer Partnership, Ltd. A beverage dispenser including an improved electronic control system
US6522411B1 (en) * 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
JP3507374B2 (en) * 1999-09-03 2004-03-15 キヤノン株式会社 Manufacturing method of two-dimensional phase element
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
JP4091222B2 (en) * 1999-09-16 2008-05-28 株式会社東芝 Processing equipment
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6498640B1 (en) * 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
JP2001277200A (en) * 2000-03-30 2001-10-09 Toshiba Corp Micro working device
JP2001287200A (en) * 2000-04-07 2001-10-16 Toshiba Corp Device and method for stamping, and method of manufacturing master
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
CN100504598C (en) * 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 High-resolution overlay alignment methods and systems for imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
KR20030040378A (en) 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
WO2002017383A2 (en) * 2000-08-21 2002-02-28 Board Of Regents, The University Of Texas System Flexure based translation stage
US6451705B1 (en) 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
EP2306242A3 (en) * 2000-10-12 2011-11-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
CN1260778C (en) * 2000-12-04 2006-06-21 株式会社荏原制作所 Substrate processing method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) * 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) * 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
DE10119531A1 (en) 2001-04-12 2002-10-24 Siemens Ag Mobile computer or PDA with a radio or mobile communications module has the aerial integrated into the device lid, so that when it is opened out for use, the aerial is an optimum operating position
US6791669B2 (en) 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6383888B1 (en) 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6561706B2 (en) 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
WO2003035932A1 (en) * 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
WO2003106693A2 (en) 2002-01-01 2003-12-24 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US20040112881A1 (en) 2002-04-11 2004-06-17 Bloemeke Stephen Roger Circle laser trepanning
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6665119B1 (en) 2002-10-15 2003-12-16 Eastman Kodak Company Wire grid polarizer
US6770410B2 (en) * 2002-10-31 2004-08-03 Xerox Corporation Imaging member
KR100492800B1 (en) * 2002-11-12 2005-06-07 주식회사 하이닉스반도체 Device for controlling non-volatile ferroelectric memory
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
EP1426458B1 (en) * 2002-12-06 2008-03-12 ALSTOM Technology Ltd Method of locally depositing a MCrAlY coating
US20040158586A1 (en) * 2003-02-10 2004-08-12 Mingtar Tsai Method and system of using shared file for data collaboration
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
JP2004296921A (en) * 2003-03-27 2004-10-21 Canon Inc Position detecting apparatus
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
"Step and flash imprint lithography for sub-100 nm patterning. COLBURN ET A.EMERGING LITHOGTAPHIC TECHNOLOGICIV,Vol.3997 . 2000
"Step and flash imprint lithography for sub-100 nm patterning. COLBURN ET A.EMERGING LITHOGTAPHIC TECHNOLOGICIV,Vol.3997. 2000 *
Application of two-wavelength optical heterodynealignmentsystem in XS-1. MITSUI S ET A.EMERGING LITHOGRAPHIC TECHNOLOGIES III, Proceedings of the SPIE,Vol.3676 . 1999
Application of two-wavelength optical heterodynealignmentsystem in XS-1. MITSUI S ET A.EMERGING LITHOGRAPHIC TECHNOLOGIES III, Proceedings of the SPIE,Vol.3676. 1999 *
MOLD ASSISTED NANOLITHOGRAPHY APROCESS FOR TRLIABLE PATTERN TRPLICATION. HANSMA J ET AL.JOURNAL OF VACUUM SICENCE AND TECHNOLOFY PART B,Vol.14 No.6. 1996
step and flash imprint lithography, a new approach tohigh-resolution patterning. COLBURN ET A.EMERGING LITHOGRAPHIC THECHOLOGIES,Vol.3676 No.1. 1999

Also Published As

Publication number Publication date
US6919152B2 (en) 2005-07-19
US6842229B2 (en) 2005-01-11
US7186483B2 (en) 2007-03-06
US6921615B2 (en) 2005-07-26
WO2002008835A2 (en) 2002-01-31
WO2002008835A3 (en) 2003-01-23
US20040209177A1 (en) 2004-10-21
KR100862301B1 (en) 2008-10-13
US6916585B2 (en) 2005-07-12
EP1303792B1 (en) 2012-10-03
US7303383B1 (en) 2007-12-04
CN1476551A (en) 2004-02-18
AU2001273491A1 (en) 2002-02-05
US20070264588A1 (en) 2007-11-15
JP4512168B2 (en) 2010-07-28
SG142150A1 (en) 2008-05-28
US20040163563A1 (en) 2004-08-26
JP4601712B2 (en) 2010-12-22
US6986975B2 (en) 2006-01-17
US20040141168A1 (en) 2004-07-22
JP2010087528A (en) 2010-04-15
KR20030079909A (en) 2003-10-10
JP2010087526A (en) 2010-04-15
US20020098426A1 (en) 2002-07-25
US20040053146A1 (en) 2004-03-18
EP1303792A2 (en) 2003-04-23
US20040086793A1 (en) 2004-05-06
US20040189994A1 (en) 2004-09-30
JP2010087529A (en) 2010-04-15
US20040189996A1 (en) 2004-09-30
EP2264523A3 (en) 2011-11-30
EP2264524A3 (en) 2011-11-30
JP4511786B2 (en) 2010-07-28
JP2004505439A (en) 2004-02-19
EP2264523A2 (en) 2010-12-22
US6902853B2 (en) 2005-06-07
EP2264524A2 (en) 2010-12-22
EP2264522A2 (en) 2010-12-22
EP2264522A3 (en) 2011-12-14
JP4512167B2 (en) 2010-07-28

Similar Documents

Publication Publication Date Title
CN100504598C (en) High-resolution overlay alignment methods and systems for imprint lithography
CN100460805C (en) Application of scatterometry alignment in imprint lithography
CN100365507C (en) Template for room temperature, low pressure micro-and nano-imprint lithography
US8432548B2 (en) Alignment for edge field nano-imprinting
EP2270592B1 (en) Method of forming a pattern on a substrate
US6954275B2 (en) Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US8016277B2 (en) Flexure based macro motion translation stage
EP2171537B1 (en) Alignment system and method for a substrate in a nano-imprint process
US8480933B2 (en) Fluid dispense device calibration
US20110084417A1 (en) Large area linear array nanoimprinting
DE20122179U1 (en) Patterning method used in semiconductor device manufacture involves forming light curable liquid between template and substrate, curing the liquid and forming a pattern of template in the liquid, and separating template from liquid

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20090624