CN100481344C - Nitrogen-free dielectric anti-reflective coating and hardmask - Google Patents

Nitrogen-free dielectric anti-reflective coating and hardmask Download PDF

Info

Publication number
CN100481344C
CN100481344C CNB2003801086137A CN200380108613A CN100481344C CN 100481344 C CN100481344 C CN 100481344C CN B2003801086137 A CNB2003801086137 A CN B2003801086137A CN 200380108613 A CN200380108613 A CN 200380108613A CN 100481344 C CN100481344 C CN 100481344C
Authority
CN
China
Prior art keywords
nitrogen
oxygen
layer
silane
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2003801086137A
Other languages
Chinese (zh)
Other versions
CN1739191A (en
Inventor
B·H·金
S·拉希
S·H·安
C·D·本切尔
Y·M·王
H·马萨德
M·D·塞尔维提
M·S·冯
K·B·郑
L·朱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1739191A publication Critical patent/CN1739191A/en
Application granted granted Critical
Publication of CN100481344C publication Critical patent/CN100481344C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

Methods are provided for depositing a dielectric material. The dielectric material may be used for an anti-reflective coating or as a hardmask. In one aspect, a method is provided for processing a substrate including introducing a processing gas comprising a silane-based compound and an oxygen and carbon containing compound to the processing chamber and reacting the processing gas to deposit a nitrogen-free dielectric material on the substrate. The dielectric material comprises silicon and oxygen. In another aspect, the dielectric material forms one or both layers in a dual layer anti-reflective coating.

Description

Nitrogen-free dielectric anti-reflective coating and hard mask
Background of invention
Technical field
The present invention relates to the manufacturing of integrated circuit, and relate to and a kind ofly be used for the technology of dielectric layer on substrate and by the formed structure of this dielectric layer.
Background technology
In the manufacturing of modem semi-conductor devices, one of key step is to form metal and dielectric layer on substrate by the chemical reaction of gas.Such depositing operation is called as chemical vapour deposition (CVD) or CVD.Traditional CVD technology is fed to substrate surface with reacting gas, heat takes place on substrate surface lure or the energization chemical reaction, thereby produce desired layer.
Introduced first before many decades since the semiconductor device, the semiconductor device geometry significantly reduces dimensionally.Thereafter, on a chip integrated number of devices every two years double.Following factory will produce the littler device of geometry.
In order further to reduce size of devices on the integrated circuit, the sector is just adopting the electric conducting material with low-resistivity and is having the insulator of low-k (dielectric constant is less than 4.0), to reduce the capacitive coupling between the adjacent wires.A kind of like this low-k (low κ) material comprises silicon, oxygen and carbon, and can be used as dielectric deposition in the manufacture process of inlaying (damascene) parts.A kind of electric conducting material with low-resistivity is copper and alloy thereof, they have become the selection material of 1/4 micron following interconnection technique, because the resistivity of copper is lower than aluminium, (copper is 1.7 μ Ω-cm, aluminium is 3.1 μ Ω-cm), and has higher current capacity and than high bearing capacity.These characteristics are important for the higher current density that is occurred under the device speed that is supported in high integration and quickening.In addition, copper has the thermal conductivity of expectation and can obtain the copper of high pure state.
Regrettably, copper is difficult to etching, thereby is difficult to obtain accurate patterns.Utilize traditional deposition that is used to form interconnection and etch process to come etch copper less always satisfactory.Therefore, people are developing the new method that is used to make the interconnection that contains copper and low κ dielectric material.
A kind of method that is used to form vertical and horizontal interconnect has been utilized and has been inlayed or the method for dual-inlaid.In method for embedding, to one or more dielectric materials, comprise low κ dielectric material, deposit and pattern etching, thereby form perpendicular interconnection (through hole) and horizontal interconnect (line).Then, with electric conducting material for example copper and being used for prevent that the barrier material of the low κ dielectric material of copper around being diffused into from embedding in the etched pattern.Then, all the unnecessary copper and the barrier material that remain in the etched pattern of being positioned at of area outside are got rid of.
Yet low κ dielectric material is porous normally, and therefore is easy to take place the inter-level diffusion of electric conducting material, and this can cause short circuit and component failure.Certain dielectric barrier layer material is used for copper enchasing structure, thereby reduces or prevent inter-level diffusion.Traditional dielectric barrier layer has the high-k more than 7 or 7 usually.High κ dielectric material and combining of low κ dielectric material on every side can cause the higher of permittivity ratio expectation that dielectric lamination has.
In addition, form mosaic texture and need utilize photoetching process.For example, in utilizing the processing sequence of conventional lithographic techniques, the resist layer of an energy-sensitive is formed on the laminate materials layer on the substrate.Many lower material layer are light reflection ultraviolets.These reflections can make the size distortion of the parts (for example line and through hole) in the erosion resistant that is formed at energy-sensitive.When the radiation of 193nm and reflective metal layer were used together, this distortion was stinking.A kind of recommendation is used for making the reflection of lower material layer to reduce to minimum technology and has used a kind of anti-reflection coating (ARC).Before the resist layer patterning, on layer of reflective material, form this ARC.ARC has suppressed the reflection of lower material layer during the resist layer imaging, thereby provides accurate patterns to duplicate in the resist layer of energy-sensitive.
Yet traditional ARC material contains nitrogen, comprises silicon nitride and titanium nitride.Nitrogen in the ARC layer can make the composition generation chemical modification of photoresist.Chemical reaction between nitrogen and the photoresist is called as photoresistance and poisons (photoresist poisoning).The photoresist that changes may not can as wishing by lithographic patterning, thereby and cause formed parts inaccuracy in photoresist, perhaps after the photoresistance patterning, have too much photoresist residue to remain on the substrate surface, the two all can to follow-up processing for example etch processes cause adverse effect.For example, nitrogen can in and the acid of photoresist and ARC near interface, thereby cause residue to form, promptly usually said " footing (footing) ", this can further cause again in forming at the interface of the bottom of parts and sidewall shape crooked or circle.
In addition, in traditional polishing, low κ material is subject to the influence of blemish or part distortion during polishing and removal electric conducting material.The solution of a kind of restriction or minimizing blemish and distortion is before patterning and the etching part profile, to deposit a hard mask earlier on the low κ material that exposes in low κ material.Hard mask can be resisted and damage and distortion.Hard mask also can protect lower floor to hang down the κ material during subsequent material deposition and complanation or material removal process, forms and part distortion thereby reduce defective.
Equally, traditional hard mask material does not have enough selectivity to oxide or metal during polishing, and this can cause the too early removal of hard mask, thereby makes subsurface material be exposed to this processing.The low κ dielectric material that exposes may be damaged, thereby causes blemish and part distortion.In addition, hard mask and ARC material can be in etching exist as the part of this structure after lower floor's dielectric layer, and the total dielectric constant of this structure is played the contribution effect.The tradition hard mask material has the high-k more than 7 or 7 usually, the dielectric constant height of the permittivity ratio of making like this that dielectric lamination had expectation.It is low κ material that current hard mask material is not manufactured into as yet satisfactorily, has enough polishing selectivity again, to be used for inlaying manufacturing.
The hard mask and the ARC material that are formed by traditional material can present porous surface.These apertures are called as pin hole.Pin hole can run through the ARC layer fully and form, thereby makes the photoresist that is deposited on the ARC layer be exposed to material under the ARC layer, for example silicon nitride.Can pass the diffusion of ARC layer from the nitrogen in silicon nitride or other nitrogenous material, and make the composition chemical modification of photoresist, thereby cause photoresistance to poison.
In addition, along with device dimensions shrink to 0.13 μ m or following, and chip manufacturer begins to adopt dual damascene process to obtain faster and characteristic higher level, occurred new challenge in photoetching technique.Because in order to form 0.13 μ m or following parts, the lithographic patterning wavelength is reduced to 193nm, thus people just at development of new photoresistance (PR) to use with the photoetching technique of 193nm wavelength.Current dielectric anti-reflective coating (SiO for example xN y) in amido (NH 2) acid catalyst among the 193nm PR that neutralized.Because being neutralized partly among the PR can not be dissolved in the developer, therefore footing appears.Use short 193nm wavelength that the reflection of substrate is increased, and strengthened the difficulty of the variation (CD waves) of control critical size, and for this shorter wavelength, effectively photoetching treatment requires the substrate reflectivity to be lower than 1%.
About the control that CD waves, dual-inlaid is handled the challenge that faces other.For example, the interconnection of Al is handled and to be comprised: cover the Al layer deposition, Al is carried out the patterning photoetching treatment, and the filling of dielectric gap.The Al layer to the high reflectance of deep UV (ultraviolet light) (DUV) wavelength and absorptivity make any DUV not transmissive cross the Al layer.Therefore, the various structures below the Al layer all do not have contribution to any reflection on the Al layer.On the contrary, handle for dual-inlaid, the low κ dielectric material of type oxide is transparent to the patterning wavelength, so that the substrate reflection can depend on understructure and changes.In addition, anti-reflection layer requires the application of dual-inlaid to have enough interlayer adhesion with low κ metal interlevel dielectric material (IMD).Current anti-reflection coating material and technology can't meet these requirements satisfactorily.
Therefore, need a kind of improved technology and material, be used to form dielectric material, these dielectric materials are suitable for having gratifying etching selectivity concerning damascene applications as anti-reflection coating or hard mask.
Summary of the invention
Generally speaking various aspects of the present invention provide a kind of method that deposits the nitrogen-free dielectric layer, and these nitrogen-free dielectric series of strata are made for the usefulness of hard mask or anti-reflection coating.On the one hand, the invention provides a kind of method of handling substrate, it comprises introduces a kind of processing gas in the process chamber, and described processing gas comprises a kind of anaerobic silane-based compound and a kind of oxygen and carbon compound of containing; And make described processing gas reaction, thereby the nitrogen-free dielectric material is deposited on the described substrate, wherein said nitrogen-free dielectric material comprises silicon and oxygen at least.
Another aspect of the present invention provides a kind of method of handling substrate, it is included at least one dielectric layer of deposition on the substrate surface, on at least one organic substance or inorganic layer, form a hard mask layer, wherein the deposition of hard mask layer is to be undertaken by the technology that comprises the steps: make to comprise a kind of anaerobic silane-based compound and a kind of processing gas reaction that contains oxygen and carbon compound, thereby the nitrogen-free dielectric material is deposited on the substrate, wherein hard mask layer comprises silicon and oxygen, and the oxide that is had is about 4:1 or higher to the etching selectivity of hard mask, in at least one zone of hard mask layer, limit a pattern, and, and in described at least one organic substance or inorganic layer, form pattern contour by formed described pattern at least one zone of described hard mask layer.
Another aspect of the present invention provides a kind of method of handling substrate, and it comprises deposition first anti-reflection layer; And deposition second anti-reflection layer on described first anti-reflection layer, wherein second anti-reflection layer is by certain process deposits, this technology comprises: a kind of processing gas is introduced in the process chamber, and the compound in the described processing gas comprises a kind of anaerobic silane-based compound and a kind of oxygen and carbon compound of containing; And make described processing gas reaction, thus the nitrogen-free dielectric material is deposited on the described substrate, wherein said nitrogen-free dielectric material comprises silicon and oxygen at least.
Description of drawings
Above the present invention has been carried out brief overview, the specific embodiment shown in is described the present invention in detail below with reference to accompanying drawings, so that people can understand the mode that realizes feature of the present invention in further detail.
Yet, it should be noted that accompanying drawing only shows exemplary embodiments of the present invention, therefore can not be considered to limitation of the scope of the invention, because the present invention also can be contained other equivalent embodiment.
Fig. 1 is a cross-sectional view strength, shows a double-embedded structure that comprises no nitrogen anti-reflection coating described herein.
Fig. 2 A-Fig. 2 H is a cross-sectional view strength, shows an embodiment of dual-inlaid sedimentary sequence.
Fig. 3 A-Fig. 3 G is a cross-sectional view strength, shows an embodiment of dual-inlaid sedimentary sequence.
Fig. 4 is a schematic diagram that shows light reflection, double-deck anti-reflection coating.
Fig. 5 is one and shows SiH 4The chart that concerns between/no nitrogen liquidity ratio and the extinction coefficient.
Fig. 6 shows as the result treatment conditions function, that back oxygen ashing extinction coefficient reduces.
Fig. 7 shows the variation of the extinction coefficient after 9 days storage lives monitor.
Fig. 8 shows the compression as the treatment conditions function.
In order further to understand various aspects of the present invention, please refer to following detailed.
Embodiment
Various aspects of the present invention described herein relate to the method for deposition nitrogen-free dielectric material.Be used for inlaying or the metallization scheme of dual damascene process, the nitrogen-free dielectric material can be used as anti-reflection coating, and itself and dielectric material or hard mask dielectric are adjacent.
On the one hand, deposit the nitrogen-free dielectric material by making the processing gas reaction, this processing gas comprises a kind of silane-based compound and a kind of oxygen and carbon compound of containing, for example carbon dioxide or organo-silicon compound.The nitrogen-free dielectric material comprises silicon and oxygen at least, and may further include carbon.Can deposit the nitrogen-free dielectric material by the plasma enhanced chemical vapor deposition process.The nitrogen-free dielectric material that is deposited can show about 11 or littler dielectric constant, for example about 4 or littler.
For processing procedure described herein, suitable silane-based compound comprises the anaerobic silane-based compound.The anaerobic silane-based compound following general formula: Si arranged xH 2x+2, Si xH yCl z, (CH 3) zSi xH yOr their combination, can be used to deposition process described herein, X can equal 1 to 4, and Y can equal 2X+1, and Z can equal 1 to 2X+2.The example of such compound comprise silane, disilane, chlorosilane, two silicon hexachlorides, chlordene silane, methyl-monosilane, dimethylsilane, trimethyl silane, tetramethylsilane and combination thereof.In the anaerobic silane-based compound one or more can be used to deposition process described herein.Silane-based compound is silane for example, can be with the flow velocity between about 100sccm and about 700sccm, and be provided for a plasma processing chambers.
Suitable contain oxygen and carbon compound comprises carbon dioxide, carbon monoxide and the organo-silicon compound that contain aerobic.The suitable organo-silicon compound that contain aerobic comprise: tetraethoxysilane (TEOS); Triethoxy silicon fluoride (TEFS); 1,3,5,7-tetramethyl-ring siloxanes (TMCTS); Dimethyldiethoxysilane; Dimethyldimethoxysil,ne; 1,3-dimethyl disiloxane; 1,1,3,3-tetramethyl disiloxane (TMDSO); HMDO (HMDS); 1,3-two (silanol methylene) disiloxane; Two (1-methyl disilicon malignant alkyl) methane; 2,2-two (1-methyl disilicon malignant alkyl) propane; Hexa methoxy disiloxane (HMDOS); 1,3,5-three silanols-2,4,6-cyclopropane; Prestox ring four disiloxane (OMCTS); 1,3,5,7,9-pentamethyl D5; 1,3,5,7-four silanols-2,6-dioxy-4,8-dimethylene; Hexamethyl cyclotrisiloxane; And their combination.
Organo-silicon compound are utilized for the source that the nitrogen-free dielectric material that is deposited provides oxygen and carbon.Liquid state contains the oxygen organo-silicon compound, and for example TEOS can be gasified, and is fed to plasma processing chambers with about 2000mgm or higher flow velocity.Normally anaerobic silane-based compound and liquid organosilicon compound are fed to process chamber according to certain velocity ratio, this velocity ratio between about 1 (sccm): 20 (mgm) to about 6 (sccm): between 5 (mgm).
Other can provide the material of oxygen and carbon source, and for example carbon monoxide and carbon dioxide can use together with organo-silicon compound, perhaps are used as the substitute of organo-silicon compound.
Thereby if contain the oxygen organo-silicon compound deposition nitrogen-free dielectric material that reacts, the oxygen content of this nitrogen-free dielectric material between about 15 atomic percentages between about 50 atomic percentages, for example between about 15 atomic percentages between about 30 atomic percentages, finish anti-reflection coating or hard mask layer to have enough layer characteristics.The silicone content of the film that is deposited can be between about 20 atomic percentages between about 50 atomic percentages, and the atomic percentage of hydrogen is between about 20 to about 30, and the atomic percentage of common carbon is less than about 15.Described film is considered to a kind of carbon doped silicon oxide usually, has corresponding silicon described herein, oxygen and carbon atom percentage.
The content of the oxygen in the deposited film, silicon and carbon can change.For example, having observed the oxygen content that the flow velocity that improves the silylation precursor can cause being deposited in the material reduces.
Handle gas and can further comprise inert gas.Can be with inert gas, the inert gas that for example is selected from argon, helium, neon, xenon or krypton and combination thereof adds to be handled in the gas, to improve Treatment Stability.Can be between about 100sccm to about 20, the flow velocity between the 000sccm is supplied this inert gas.
Optimal process described herein is finished in such process chamber: it is suitable for chemically mechanically depositing organosilicon material, for example DxZ when applying RF power TMChemical vapor deposition chamber or Produce TMDeposit cavity, these two kinds of deposit cavities all can be buied from the Applied Materials Inc of California, USA Santa Clara.Authorize people such as Wang and transferred this invention assignee Applied Materials Inc, name is called the United States Patent (USP) 5 of " A Thermal CVD/PECVD Reactor and Usefor Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situMulti-step Planarized Process ", 000,113 has described the example of a CVD reactor that can use with technology described herein.
In one embodiment, the nitrogen-free dielectric material can deposit by following manner: with silane-based compound, silane for example, with between about 100sccm to the flow velocity between about 700sccm, be fed to plasma processing chambers; To contain the oxygen organo-silicon compound, for example TEOS is fed to plasma processing chambers with about 2000mgm or higher flow velocity; With between about 100sccm to about 20, the flow velocity between the 000sccm comes supplying inert gas; Underlayer temperature is maintained at about between 100 ℃ to about 1000 ℃; The chamber pressure is maintained at about under 50 holders; And, radio frequency (RF) power is remained between about 0.16 watt/cm for a 200mm substrate 2To about 32 watts/cm 2Between, or power stage remained between about 50 watts to about 10,000 watts.Substrate and the distance of handling between the gas source remain between about 0.1cm between about 10cm.
Can be with providing RF power between the frequency of about 1kHz between about 10GHz.On the one hand, can be with high frequency for example between the frequency of about 13MHz between about 14MHz, perhaps, provide RF power with the hybrid frequency of high frequency and the low frequency for example high frequency of about 13.56MHz and the low frequency of about 356kHz.RF power can provide continuously, or provides in the mode of short cycle---wherein connect this power with the rank of regulation with less than the cycle of about 200Hz, and connection cycle total account for total work cycle about 10% to about 30% between.Can utilize a gas distributor will handle gas and introduce in the process chamber, and this gas distributor can be positioned to leave about 200 mils of substrate surface to about 700 mils.Following chart provides and has been used for 200 and the embodiment of 300mm substrate.It after the high κ DARC deposition low κ DARC deposition.
The treatment conditions of high κ layer of table 1. and low κ layer deposition
200mm 300mm
The low high κ scope of κ of the low high κ scope of κ
SiH 4(sccm) 95 109 50-150 198 255 100-350
CO 2(sccm) 4500 700 300-7000 9000 3000 500-14000
He(sccm) 0 3500 0-7000 0 5000 0-10000
T(℃) 350 350 250-550 350 350 250-550
P (holder) 6 5.5 4.5-8 6 5.5 4.5-8
Spacing (mil) 530 485 230-600 520 510 230-600
13.56MHz?RF(W) 125 210 50-400 245 200 50-800
356kHz?RF(W) 0 0 0-100 0 0 0-200
One preferred aspect, the nitrogen-free dielectric material can deposit by following manner in one embodiment: with silane to be fed to plasma processing chambers between the flow velocity of about 100sccm between about 700sccm, TEOS is fed to plasma processing chambers with about 2000mgm or higher flow velocity, with between about 500sccm to about 10, flow velocity supply helium between the 000sccm, underlayer temperature is remained between about 250 ℃ to about 450 ℃, the chamber pressure is remained between about 3 holders between about 10 holders, for a 200mm substrate, the RF power of supply between about 100 watts to about 1000 watts, and make substrate and handle spacing distance between the gas source between about 300 mils between about 500 mils.
When the deposit cavity that can obtain from the Applied Materials Inc of California, USA Santa Clara at, when above-mentioned processing parameter was applied to a 200mm (millimeter) substrate, the scope of the deposition rate of the nitrogen-free dielectric material that is provided was for about
Figure C200380108613D00181
To about 20,000
Figure C200380108613D00182
For example be about
Figure C200380108613D00183
After the deposition, if desired, can carry out temperature to the dielectric material that is deposited between about 100 ℃ to about 400 ℃, the time between about 1 minute to about 60 minutes and be preferably about 30 minutes annealing, thereby reduce water capacity, improve the solidness and the hardness of dielectric material.Annealing preferably is carried out after the deposition of a succeeding layer, in order that any contraction of issuable dielectric layer during the annealing or distortion are reduced or reach minimum.Can for example argon and helium add in the annealing atmosphere with inert gas.
The nitrogen-free dielectric material that is deposited can be subjected to plasma treatment, thus subsequent material deposition thereon before, remove pollutant or in other words clean the exposed surface of nitrogen-free dielectric layer.Plasma treatment can be carried out in being used to deposit the same chamber of nitrogen-free dielectric material.Plasma treatment generally comprises inert gas or reducing gas is provided to process chamber, and wherein inert gas comprises helium, argon, neon, xenon or krypton or their combination, and wherein helium is preferred, and reducing gas comprises hydrogen, ammonia and their combination.The time of carrying out plasma treatment can be between about 10 seconds to about 100 seconds.Plasma treatment it is believed that can be from clean contaminants on the exposed surface of nitrogen-free dielectric material, and can make this layer stable, so just makes them under atmospheric conditions, and thereon under the bond condition of formed each layer, seldom with moisture or oxygen reaction.
Yet it should be noted, in various chambers, and for example for the 300mm substrate, can change each relevant parameter to carry out plasma treatment for various substrate dimension.Submit on June 18th, 1999; name is called " Plasma treatment to Enhanceadhesion and to Minimize Oxidation of Carbon-Containing Layers's "; sequence number is 09/336; 525 U.S. Patent application; and submit on April 11st, 2002; name is called " Methods for Depositing Dielectric Materials's "; sequence number is 10/122; in 106 the co-pending U.S. Patent application; the example of the plasma treatment that is used for dielectric film is further disclosed; this by reference itself and the present invention required for protection aspect and the content of noncontradictory is described, and will incorporate this paper in these two patent applications.
The nitrogen-free dielectric material that is deposited can have certain absorption coefficient of light, or claims extinction coefficient (κ).The quantity that light absorbed of κ value representation to passing therethrough.When the κ value increased, light absorbing quantity also can increase.According to observation, the κ value can be used as hard mask effectively greater than about 0.5 material, and the material of κ value between about 0 to about 2 can be used to the ARC application according to the requirement of using the ARC layer.Extinction coefficient can change between in about 0 to about 2.0, all according to appointment between 0 to about 1.5---between about for instance 0.1 to about 1.1, wavelength is less than about 250nm (nanometer), for example about 248nm wavelength or 193nm wavelength make it be suitable for use as anti-reflection coating (ARC) under deep UV (DUV) wavelength.
When dielectric material is transparent for the 193nm radiation, and absorbefacient ARC (κ〉1, thickness〉1000) may be useful.Anti-reflection coating minimizes the substrate reflectivity, shifts with the accurate patterns of finishing from the mask to the photoresistance.
The nitrogen-free dielectric material for example at 193nm wavelength place, also has the refractive index (n) less than about 3 at the wavelength place that is lower than about 250nm, and the refraction of light is wherein passed in its expression.Generally hard mask as herein described and ARC layer are used for utilizing, wavelength is less than the photoetching treatment of 250nm (nanometer), the n value, such as between about 1.5 to about 2.2---the n value between about 1.7 to about 2.2 for instance is acceptable.According to observation, the n value increases with the increase of κ value usually, reaches about 0.5 up to the κ value.Just observe subsequently, when the increase of κ value surpassed 0.5, the n value just reduced with the increase of κ value usually.
Extinction coefficient of nitrogen-free dielectric material (κ) and refractive index (n) can be used as the function of admixture of gas composition and processing parameter and change.The quantity that it is believed that Si-H key in the deposition materials can influence extinction coefficient (κ), and the change of composition and processing parameter can be controlled the quantity and the optical characteristics of the Si-H key in the deposition materials.
Observe, the silicon concentration that improves in the deposition materials can cause Si-H key and κ value in the deposition materials to increase.On the contrary, the increase of oxygen content can cause the κ value to reduce in the deposition materials.Also observe, the increase of concentration of carbon can make the κ value increase in the deposition materials.
Along with the silane source gas concentration increases, promptly silane increases the ratio of TEOS, and quantity and the extinction coefficient (κ) of observing the Si-H key of deposition materials increase thereupon.For example, along with the silane flow velocity under the constant TEOS flow velocity of about 500mgm, be increased to about 225sccm from about 50sccm, when every other value remained unchanged, the κ value was increased to about 0.9 from about 0.3.In another example, along with the silane flow velocity under the constant TEOS flow velocity of about 2000mgm, be increased to about 550sccm from about 150sccm, when every other value remained unchanged, the κ value was increased to about 0.625 from about 0.275.Having observed the flow velocity that improves the silylation precursor can cause the oxygen content in the deposition materials to reduce.
Can change refractive index (n) with respect to the ratio of TEOS by control silane.For example, under the TEOS of 500mgm flow velocity, the n value can be controlled between about 1.90 to about 2.05 between the silane flow velocity of about 50sccm between about 250sccm, and under the TEOS of 2000mgm flow velocity, the n value can be controlled between about 1.85 to about 1.70 between the silane flow velocity of about 150sccm between about 550sccm.
Also can control κ value and n value by power stage and pressure.For example, having observed raising power can make the oxygen content of deposition materials and κ value improve usually.In addition, also observing the raising deposition pressure can cause the κ value to descend usually.
The nitrogen-free dielectric material is suitable for being deposited on organic material or the inorganic material, and organic material for example is dielectric carborundum or silicon oxycarbide films, and inorganic material for example is polysilicon or comprises copper or the metal material of barrier material (for example tantalum).The embodiment that provides described herein being used to deposit the nitrogen-free dielectric material is for the present invention and specific embodiment are described, and it should be used for limiting the scope of the invention.
The deposition that is used for the ARC layer of double-embedded structure
With nitrogen-free dielectric material described herein as the barrier layer and an example of the mosaic texture that forms as shown in Figure 1.Barrier layer 110, for example carborundum or oxygen-doped carborundum can be deposited so that the inter-level diffusion of material is reduced or minimize.Submit on October 1st, 1998; name is called " carborundum Deposition As A Barrier And An Etch Stop "; publication number is 2003/008992 co-pending U.S. Patent application; and submit on April 11st, 2002; name is called " Methods for Depositing Dielectric Materials "; publication number is in 2003/0194496 the co-pending U.S. Patent application; an example of carborundum deposition processes has been described, this by reference wherein with the present invention required for protection aspect and describe the content of noncontradictory and incorporate these two patent applications into this paper.Substrate surface can comprise the metal parts 107 that forms in the dielectric material 105.
Deposition first dielectric layer 112 on the oxygen-doped silicon carbide barrier layer 110 that is positioned on the substrate surface, wherein this substrate surface includes the metal parts 107 that is formed in the dielectric material 105, first dielectric layer 112 is generally a kind of low κ interlayer dielectric material, for example the silicon oxide carbide material (carbon doped silicon oxide) by alkyl silane (alkyl silane) oxidation is made.U.S. Patent number 6 in promulgation on September 11 calendar year 2001; 287; the example of having described the dielectric material that is used for first dielectric layer 112 in 990 more fully and being used to deposit the technology of this dielectric material, this by reference in this patent with the present invention required for protection aspect and describe the content of noncontradictory and incorporate it into this paper.
On first dielectric layer 112, deposit an etch stop layer (or claiming second barrier layer) 114 then, it for example is a kind of low κ carbofrax material.Then, etch stop layer 114 is carried out pattern etching, thereby limit the opening of interconnection or contact/through hole 116.Then, on patterned etch stop layer, deposit one second dielectric layer 118, this second dielectric layer can be of identical composition with first dielectric layer 112.Then, on second dielectric layer 118, deposit a no nitrogen ARC layer 119 as herein described.Utilize conventional method well known in the art to deposit photoresistance and make its patterning then, thereby limit contact/through hole 116.Finish an independent etch process then, up to etch stop layer, and etching is by the dielectric material that is not protected that etch stop layer exposed that is patterned with downward qualification contact/through hole 116, thereby limits contact/through hole 116.Then, deposit for example copper of one or more electric conducting materials 120, to fill formed contact/through hole 116.
Fig. 2 A to Fig. 2 H is the cross-sectional view strength of a substrate, be formed with each layer of the present invention on this substrate, Fig. 2 A to Fig. 2 H schematically shows a preferred double-embedded structure manufactured according to the present invention in order, and it comprises a no nitrogen ARC layer that forms by process deposits described herein.
Shown in Fig. 2 A, oxygen-doped silicon carbide barrier layer 110 is deposited on the substrate surface.The example of oxygen-doped carborundum deposition is as follows: with about 300mgm flow velocity, with 1,3,5,7-tetramethyl-ring siloxanes (TMCTS) is introduced process chamber; With about 360mgm flow velocity, trimethyl silane (TMS) is introduced process chamber; With about 1000sccm flow velocity, helium is introduced process chamber; In this process chamber, generate plasma by the RF energy that applies 950 watts; Underlayer temperature is maintained at about 350 ℃; The chamber pressure is maintained at about 8.7 holders, to deposit oxygen-doped silicon carbide layer.Spacing between gas distributor and the substrate surface is about 515 mils.The top example that provides only is illustrative, should not understood or be construed to limit the scope of the invention.
Submit on March 12nd, 2002, name be called " Method for Depositing a lowk Dielectric Film for Hardmask Application ", publication number is other examples that 2003/0113995 U.S. Patent application has been described oxygen-doped carbofrax material is deposited more fully, this by reference in this application with the present invention required for protection aspect and describe the content of noncontradictory and incorporate it into this paper.Although not shown, can be on barrier layer 110 with the cap layer deposition of a no fire sand.This no fire sand cover layer can (insitu) deposit in the original place.
Can utilize inert gas and/or reducing gas to come oxygen-doped silicon carbide barrier layer 110 is carried out plasma treatment, wherein inert gas comprises helium (He), argon (Ar), neon (Ne) and their combination, and reducing gas comprises hydrogen, ammonia and their combination.Plasma treatment can be carried out in the original place together with the deposition of oxygen-doped carbofrax material.
By interlayer dielectric material for example first dielectric layer 112 made of silicon oxide carbide be deposited on the first oxygen-doped silicon carbide barrier layer 110, thickness is for approximately
Figure C200380108613D00221
To about
Figure C200380108613D00222
This depends on the size of the structure that will make.The Black Diamond of example for buying from the Applied Materials Inc of California, USA Santa Clara that can be used as the advanced low-k materials of interlayer dielectric material TMFirst dielectric layer also can comprise other low κ dielectric materials, and these other low κ dielectric material comprises for example paralyne of oligomerization material, the silex glass (FSG) of perhaps low for example unadulterated silex glass of κ spin-coating glass (USG) or doped with fluorine.
Can handle first dielectric layer 112 by plasma treatment then, thereby remove pollutant, and make the surface densification of dielectric layer 122.An example of plasma treatment comprises and will contain for example processing gas of hydrogen of helium or certain reducing gas, with between about 500sccm to about 1, the flow velocity between the 500sccm is introduced; For 200 millimeters substrate, power stage is between about 600 watts to about 800 watts, and the time is then between about 40 seconds to about 60 seconds.In the reaction cleaning course, the pressure of process chamber is maintained at about 20 holders or littler, and underlayer temperature is retained as about 450 ℃ or lower.
Then, shown in Fig. 2 B, low κ etch stop layer 114 of deposition on first dielectric layer, it can be certain carbofrax material, and thickness is for about
Figure C200380108613D00231
To about
Figure C200380108613D00232
Low κ etch stop layer 114 can carry out plasma treatment, and is identical with oxygen-doped silicon carbide barrier layer as herein described 110.Then, low κ etch stop layer 114 is carried out pattern etching, limiting contact/via openings 116, and first dielectric layer 112 is exposed in the zone that will form the contact/through hole shown in Fig. 2 C.Preferably, adopt traditional photoetching that utilizes fluorine, carbon and oxonium ion and etch process that low κ etch stop layer 114 is carried out pattern etching.Although not shown, before the deposition other materials, can on etch stop layer 116, deposit a thickness between about 100
Figure C200380108613D0023124925QIETU
To about 500
Figure C200380108613D0023124925QIETU
Between no fire sand or silica cover layer.
In etching low κ etch stop layer 114 so that after making contact/through-hole patternization and having removed photoresistance, shown in Fig. 2 D, second dielectric layer of being made by silicon oxide carbide 118 is deposited between approximately
Figure C200380108613D00233
To about
Figure C200380108613D00234
Thickness, and do not have nitrogen ARC layer 119 and be deposited on this second dielectric layer.Before the no nitrogen ARC layer 119 of deposition, can carry out plasma treatment to second dielectric layer 118, identical with first dielectric layer 112 described herein.Plasma treatment it is believed that the reactivity between the material that can reduce layer 118 surface and subsequent deposition.
As described here, no nitrogen ARC layer 119 can be deposited on second dielectric layer 118.For example, ARC layer 110 can deposit by following manner: with between the flow velocity of about 100sccm between about 700sccm, silane is fed to plasma processing chambers; With 2000mgm or higher flow velocity, TEOS is fed to plasma processing chambers; With between about 500sccm to about 10, the flow velocity between the 000sccm is supplied helium; Underlayer temperature is remained between about 250 ℃ to about 450 ℃; The chamber pressure is remained between about 3 holders between about 10 holders; For a 200mm substrate, the RF power of supply between about 100 watts to about 1000 watts; And the distance that makes substrate and handle the interval between the gas source between about 300 mils between about 500 mils.
In an alternative embodiment, for example before the ARC layer 119, can on second dielectric layer 118, deposit a thickness between pact at the deposition other materials
Figure C200380108613D00241
To about Between no fire sand or silica cover layer.
Then, deposition photoresist 122 on no nitrogen ARC layer 119, and preferably utilize conventional lithography process patterned, thus limit interconnection line 120, shown in Fig. 2 E.Photoresist 122 comprises a kind of material as known in the art, is preferably a kind of high activation energy photoresistance, UV-5 for example, and it can be encouraged limited company (Shipley Company Inc.) from the Xi Pu of Massachusetts, United States Marlborough and buy.Utilize reactive ion etching or other anisotropic etching techniques to come these interconnection of etching and contact/through hole then, thereby limit the metallization structure (interconnection and contact/through hole as described in being) shown in Fig. 2 F.Utilize oxygen to peel off (oxygen strip) or other suitable technology removes any photoresistance or the other materials that is used to make etch stop layer 114 or second dielectric layer, 118 patternings.
For example aluminium, copper, tungsten or their composition form a metallization structure to utilize electric conducting material then.Present trend is to utilize copper to form less parts, and (its resistivity is 1.7m Ω-cm, and the resistivity of aluminium is 3.1m Ω-cm) because the resistivity of copper is low.Preferably, shown in Fig. 2 G, at first with a suitable barrier layer 124 for example tantalum nitride conformally (conformally) be deposited in this metallization pattern, thereby prevent copper migration to around silicon or dielectric material in.Thereafter, thus utilize chemical vapour deposition (CVD), physical vapour deposition (PVD), plating or their combination to come deposited copper 126 to form this conductive structure.In case with copper or other metal filled this structure, promptly utilize chemico-mechanical polishing to make this surface planarization, shown in Fig. 2 H.
Observe, the nitrogen-free dielectric material is deposited the usefulness that is made for anti-reflection coating, eliminated the NH of nitrogenous dielectric material 2All disadvantageous influencing each other between amine groups and the DUV 193nm photoresistance that directly contacts anti-reflection coating (ARC), and therefore eliminated the main source that photoresistance poisons the footing that is caused.In a single-chip PECVD reactor, the optical characteristics that nitrogen-free dielectric ARC is shown on the 193nm wavelength has the adjustable extent of a broadness: 1.6<n<1.9 and 0<κ<1.1.In the dual-inlaid processing procedure, this scope makes double-deck dielectric ARC to be deposited on the low κ dielectric layer at scene, high-effect ground.Nitrogen-free dielectric ARC can combine with low κ dielectric material, and and low κ material between have enough bonding forces, and etched and by the ability of chemical polishing and mechanical polishing with low κ dielectric material.
The deposition of double-embedded structure
In an alternative embodiment of mosaic texture; before the polishing photoresist; nitrogen-free dielectric material as herein described deposition can be made for the usefulness of a hard mask layer on the dielectric layer, to improve formation of inlaying and the low κ material of protecting this mosaic texture when this metallization structure of etching.As hard mask as herein described and the example of the mosaic texture that forms is shown among Fig. 3 A-Fig. 3 G, these figure are the cross-sectional view strengths that are formed with a substrate of each step of the present invention on it with the nitrogen-free dielectric material.
As shown in Figure 3A, with a barrier layer 310 for example carborundum be deposited on the substrate surface, in order to eliminate the inter-level diffusion between substrate and the subsequent deposition material.This substrate surface can comprise the parts profile (feature definitions) 307 that is formed in the dielectric material 305.Can utilize oxygen, boron, phosphorus or their combination come to be mixed in barrier layer 310.
On the barrier layer on the substrate surface 310, and in the parts profile 307 in being formed at dielectric material 305, deposit first dielectric layer 312 that can comprise the silicon oxide carbide material.By making for example trimethyl silicane alkoxide of organosilan or organosiloxane, and first dielectric layer 312 that deposition is made of interlayer dielectric material on barrier layer 310, its thickness is for approximately
Figure C200380108613D00251
To about
Figure C200380108613D00252
This depends on the size of the structure that will make.
The example that can be used as a kind of advanced low-k materials of interlayer dielectric material is BlackDiamond TM, it can be buied from the Applied Materials Inc of California, USA Santa Clara.In addition, first dielectric layer also can comprise other low κ dielectric materials, as the low κ polymeric material that comprises paralyne, and the silex glass (FSG) of perhaps low for example unadulterated silex glass of κ spin-coating glass (USG) or doped with fluorine.After deposition, described the same with this paper to the oxidation of coal silicon layer, can carry out plasma treatment to first dielectric layer 312 subsequently.
Then, a low κ etch stop layer of deposition (or claiming second barrier layer) 314 on 312 layers of first dielectric layers, this low κ etch stop layer for example is a carbofrax material or oxidation silicone layer, thickness is for approximately
Figure C200380108613D00253
To about
Figure C200380108613D00254
Then, low κ etch stop layer 314 is carried out pattern etching, thereby limit contact/via openings 316, and first dielectric layer 312 is exposed in the zone that will form contact/through hole, as shown in Figure 3A.Preferably, adopt traditional photoetching that utilizes fluorine, carbon and oxonium ion and etch process to come low κ etch stop layer 314 is carried out pattern etching.Although not shown, before the deposition other materials, can on etch stop layer 316, deposit a thickness between about To about
Figure C200380108613D00256
Between no fire sand or silica cover layer.
In etching low κ etch stop layer 314 so that contact/through-hole patternization and removed photoresistance after, with second dielectric layer 318 for example silicon oxide carbide be deposited into thickness for approximately To about As shown in Figure 3A.Also can carry out plasma treatment to second dielectric layer 318 by this specification is described.
Then, can be described by this specification, a nitrogen-free dielectric hard mask layer 322 is deposited on second dielectric layer 318, and preferably utilizes traditional photoetching process 13 to make its patterning, thereby limit interconnection line 320, shown in Fig. 3 B.Nitrogen-free dielectric hard mask layer 322 is hard masks, it can be used as the abortion means of chemical Mechanical Polishing Technique, sustains damage during etch processes and polishing (for example chemico-mechanical polishing) so that can prevent low κ dielectric material (for example second dielectric layer 318) when removing electric conducting material.The hard mask layer 322 that nitrogen-free dielectric material described herein is made has shown, the etching selectivity of oxide or the hard mask of metal pair is about 4:1 or higher, and the etching selectivity of oxide that is shown in some cases or the hard mask of metal pair is about 10:1 or higher.
Nitrogen-free dielectric hard mask layer 322 is by the described deposition of this specification.An example of hard mask deposition comprises: with between about 100sccm to the flow velocity between about 700sccm, with plasma chamber of silane supply; With about 2000mgm or higher flow velocity, TEOS is fed to this plasma process chamber; With between about 500sccm to about 10, the flow velocity between the 000sccm is supplied helium; Underlayer temperature is maintained at about between 250 ℃ to about 450 ℃; The chamber pressure is maintained at about 3 holders between about 10 holders; For a 200mm substrate, the RF power of supply between about 100 watts to about 1000 watts; The distance that makes substrate and handle the interval between the gas source between about 300 mils between about 500 mils.Hard mask 322 is that the deposition rate with about 2000A/min deposits.Observe, the oxide that hard mask 322 is had or the etching selectivity of the hard mask of metal pair are 10:1.
These parts are subjected to etching then and pass second dielectric layer 318, low κ etch stop layer 314, first dielectric layer 312 and silicon carbide barrier layer 310, shown in Fig. 3 C.Can remove hard mask 322 fully by etch process.
Use electric conducting material then, for example aluminium, copper, tungsten or or their combination, fill interconnection line 320, thereby form a metallization structure.Present trend is to utilize copper to form less parts, and (its resistivity is 1.7m Ω-cm, and the resistivity of aluminium is 3.1m Ω-cm) by contrast because the resistivity of copper is low.Preferably, shown in Fig. 3 D, at first with a suitable barrier layer 324, for example tantalum or tantalum nitride conformally are deposited in the metallization pattern, thereby prevent that copper migration is in silicon on every side or dielectric material., utilize electrochemical deposition, for example electroplate or electroless deposition (electroless deposition), chemical vapour deposition (CVD), physical vapour deposition (PVD) or their combination, come deposited copper 326, thereby fill described structure, shown in Fig. 3 E thereafter.
In case this structure is filled by copper or other metals, convenient make this surface planarization with chemico-mechanical polishing.Yet, shown in Fig. 3 F, after polishing, may the remaining nitrogen-free dielectric hard mask layer 322 of anti-polishing down.Nitrogen-free dielectric hard mask layer 322 can be removed from substrate surface by plasma treatment.
The deposition of two ARC layers
The photoresistance on it is deposited with patterning before, nitrogen-free dielectric ARC layer described herein deposition can be become bilayer (double layers).With reference to figure 4, in double-deck general layout 400, bottom absorbed layer 410 with high index of refraction (n) and high extinction coefficient (κ) is set, as reflector and absorber, the thickness of top phase shift layer (low κ layer) 420 and optical characteristics are designed to offset from photoresistance 440/ top layer 420 interface, and the reverberation at top layer (low κ) 420/ bottom layer (high κ), 410 interfaces simultaneously.Double- deck 410 and 420 are deposited on a kind of low κ material carbon doped silicon oxide for example described herein.Before photoetching, photoresist 440 is deposited on the layer of top then.
Can in described chamber, come on-the-spot deposition top layer 420 and bottom layer 410 with identical precursor.Herein nitrogen-free dielectric deposition can have different processing parameters, for example relative populations of temperature, pressure, precursor or ratio, thus provide independently optical characteristics for top layer 420 and bottom layer 410.Duplex coating 400 is designed to the reflectivity of the substrate below 1% is being provided on the entire wafer usually, and this can make CD wave and minimize.Alternatively, only have top layer 420 or bottom layer 410 can comprise nitrogen-free dielectric ARC material described herein, another layer then comprises traditional ARC material.
Deposition examples
Following example explanation is used for the deposition of the material described herein of ARC and hard mask purposes.These materials utilize chemical vapor deposition chamber to deposit, and chemical vapor deposition chamber is the part of an integrated form processing platform.Specifically, utilize a Producer that can buy from the Applied Materials Inc of California, USA Santa Clara TMDepositing system deposits these films.
Chambeies in about 5 holders are pressed, are about under 400 ℃ the underlayer temperature, handle by mixing precursor, deposit a no nitrogen ARC layer by following reacting gas on the 200mm substrate:
Silane (SiH 4), flow velocity is about 400sccm;
Tetraethoxysilane (TEOS), flow velocity is about 2000mgm; And
Helium, flow velocity is about 2,000sccm;
Substrate is positioned as apart from about 400 mils of gas distribution showerhead.Plasma is by providing the power stage of about 500W to produce to this shower nozzle with frequency 13.56MHz.With about 3168
Figure C200380108613D00281
About 10 seconds of this film of deposited at rates, deposit thickness is for approximately
Figure C200380108613D00282
The film that is deposited is tested, and for the wavelength of 193nm, the optical characteristics absorption coefficient (κ) that obtains of actual measurement is for about 0.369, and the n value is 1.776.
Chambeies in about 5 holders are pressed, are about under 400 ℃ the underlayer temperature, handle by mixing precursor, deposit a no nitrogen hard mask layer with following reacting gas on the 200mm substrate:
Silane (SiH 4), flow velocity is about 375sccm;
Tetraethoxysilane (TEOS), flow velocity is about 1000mgm; And
Helium, flow velocity is about 2,000sccm;
Substrate is positioned as apart from about 400 mils of gas distribution showerhead.Plasma is by providing the power stage of about 500W to produce to this shower nozzle with frequency 13.56MHz.With about 3898 About 10 seconds of this film of deposited at rates, deposit thickness is for approximately
Figure C200380108613D00284
The film that is deposited is tested, and for the wavelength of 193nm, the optical characteristics absorption coefficient (κ) that obtains of actual measurement is for about 1.017, and the n value is 1.774.
The duplex coating example
Utilize silane (SiH 4) and a kind of no nitrogen oxidant, under T=350 ℃ of temperature, strengthen chemical vapour deposition (CVD) (PECVD) with parallel-plate plasma and come the deposited samples nitrogen-free dielectric anti-reflective coating.The Thermawave Optiprobe that model is model-5340 is used to measure thickness, n and κ value at λ=193nm place.Change processing parameter for example the spacing of high frequency power, pressure, gas ratio and receptor survey the adjustable extent of optical characteristics.For a given film lamination with known optical characteristics and thickness, a kind of Prolith reflectivity simulator can calculate the nearly function of two variablees of substrate reflectivity as the ARC film, this Prolith reflectivity simulator is used to find the set point of n, κ and thickness, under a given film lamination condition substrate reflectivity is minimized.The composition of each nitrogen-free dielectric anti-reflective film be by rutherford's backscattering method (RBS), hydrogen forward scattering (Hydrogen Forward Scattering, HFS) and nuclear reaction analysis (NRA) analyze.Utilize Bio-Rad FTIR Model QS-312 to study the chemical bonding of sedimentary deposit.By
Figure C200380108613D00291
SiOCH go up deposition
Figure C200380108613D00292
To 800
Figure C200380108613D0029125134QIETU
Nitrogen-free dielectric ARC, utilize m-ELT to test and have the nitrogen-free dielectric coating of low κ dielectric SiOCH.Utilize CF 4Chemical method (CF 4Chemistry) at e-Max TMEtching nitrogen-free dielectric ARC in the chamber (the dielectric etch device of Applied Materials Inc), CF 4Chemical method is used to the ditch trench etch and the chemico-mechanical polishing of silicon oxide carbide or carbon doped silicon oxide (SiOCH) in the CMP chamber.
In PECVD handled, no nitrogen precursor guaranteed that anti-reflection coating does not contain nitrogen, confirms as RBS/HFS/NRA.Do not observe photoresistance and poison, this shows NH among the ARC 2Amine groups has obtained minimizing or being eliminated.
With reference to figure 5, the n of nitrogen-free dielectric ARC and κ have enough wide scope, thereby can cover double-deck ARC, make reflectivity minimize until below 1%.In the available various processing adjusters of PECVD reactor, SiH 4The gas stream ratio of/no nitrogen oxidant is the simple parameter that is used for changing n and κ.Improve SiH 4Flow, κ just can increase thereupon.What is interesting is that though n the time can increase in beginning, when κ is increased to 0.6 when above, n in fact but can reduce (referring to Fig. 5).Analyze according to RBS/HFS, silicon atom (Si) content and hydrogen atom (H) content all can increase with the κ value, and according to FTIR spectrum, the Si-H absorption signal is subsidiary have been increased, and has confirmed that the Si-H key is the reason that absorbs under the DUV wavelength.
For the 90nm dual damascene process, deposited barrier material and low κ IMD, and before utilizing the filling of barrier layer and copper plating carrying out parts, utilized through hole and ditch trench etch that barrier material and low κ IMD have been made patterned process.For low κ IMD/ barrier laminate, utilize the Prolith simulator to obtain the optimum setting value of n, κ and thickness for bilayer.
Adopted one group of exemplary reflectivity isogram to test this film.Wherein one group of possible result is, the refractive index n of top layer=1.78 ± 0.1, extinction coefficient κ=0.3 ± 0.05, and thickness
Figure C200380108613D00294
And the refractive index n of bottom layer=1.75 ± 0.1, extinction coefficient κ=1.0 ± 0.1, and thickness
Figure C200380108613D00295
Observed the window of a non-constant width of other optimal values groups of n, the κ of top layer and thickness and bottom layer.Compare with individual layer, because described double-deck scheme provides much wide n, κ and a thickness window, so its reflectivity is lower.N that these are best and κ window all are in the adjustable range of nitrogen-free dielectric ARC, thereby can access an Integrated Solution flexibly.In our single wafer PECVD reactor, can on-the-spotly continuously after high κ bottom layer deposit a low κ top layer, and not need wafer is removed from chamber.Thereby, can easily deposit double-deck nitrogen-free dielectric ARC, and keep high-throughput simultaneously.
In dual damascene applications, usually can be by a kind of O that is called as 2The processing based on oxygen of ashing (it can be a kind of processing based on plasma) is removed photoresist from the ARC coating.During dual-inlaid formed, no nitrogen ARC coating will stand photoresistance deposition and O repeatedly 2Ashing, and the stability of this film, that is the confining force of the optical characteristics of ARC layer need keep under such condition.In deposition, patterning and by O 2Before the ashing of the photoresist that plasma carries out, will be on no nitrogen ARC coating such as the such no nitrogen cap layer deposition of oxide.This cover layer can deposit to approximately
Figure C200380108613D00301
To about Between thickness so that protection nitrogen-free dielectric ARC, thereby keep the optical characteristics of this ARC layer.For low κ nitrogen-free dielectric ARC, after deposition, handle the stability that also can improve film by no nitrogen oxidation plasma.Tested interlayer adhesion between nitrogen-free dielectric ARC and the low κ IMD film (for example SiOCH) by m-ELT, and found the equating of standard SiON dielectric ARC of this interlayer adhesion and SiOCH with same thickness.Nitrogen-free dielectric ARC K AppGreater than 0.252MPa-m 1/2The etching characteristic that nitrogen-free dielectric ARC is had is similar to the dielectric material with same etch chemical characteristic, as low κ IMD via/trench.At thee-Max TMIn the etched cavity, by SiOCH ditch trench etch CF 4Chemical method has been measured the etch-rate of nitrogen-free dielectric ARC.At thee-Max TMPass through SiOCH ditch trench etch CF in the etched cavity 4The etch-rate of the nitrogen-free dielectric ARC that the chemical method actual measurement obtains is than fast at least 20% (referring to table 2) of SiOCH.In addition, the polishing material that nitrogen-free dielectric ARC is had is removed speed and can be compared with FSG, and estimates faster than SiOCH (it has certain porousness).
Table 2
No nitrogen hangs down no nitrogen height
SIOCH SiON FSG
κ κ
Etch-rate
Figure C200380108613D00303
1 1.2 1.5
Polishing speed
Figure C200380108613D00304
1.0 1.3 1.1 1
Fig. 6 and Fig. 7 have illustrated that the mixing of what use is made of high and low frequency makes the rete that has better resistivity for the absorption of oxygen ashing and water.The result that Fig. 6 will back oxygen ashing (post oxygenashing) extinction coefficient reduces is expressed as the function of different disposal of the film of three kinds of different extinction coefficients.Similarly, Fig. 7 is illustrated in and has carried out after the storage life supervision in 9 days the variation of extinction coefficient.The single high frequency that these processing have comprised the single high frequency that carries out with argon, carry out with helium, the height hybrid frequency of carrying out with argon, the height hybrid frequency of carrying out with helium, and the processing such as height hybrid frequency carried out with low deposition rate.High frequency is 13.56MHz, and low frequency is less than 1MHz.The plasma of hybrid frequency is exposed under two kinds of frequencies.
Fig. 8 is expressed as the result of compression test the function of different disposal of the film of three kinds of different extinction coefficients.These presentation of results how helium is produced the compression of high value as carrier gas.The plasma of height hybrid frequency has higher compression result.The higher film of the minimum film of extinction coefficient and extinction coefficient is compared has higher compression result.
Table 3 has been summed up the result of the section SEM (XSEM) of the 193nm on the dielectric anti-reflective coating.
The test result summary of characteristic stress, FTIR and the photoetching of table 3. film
FTIR
The Si-OH photoetching at method stress 3650cm-1 place
MPa places air 7 days 85/85 top-down
The no slag dirt/footing of SION
SF AR LK-50 has bad
SF HE LK-104 has bad
MF AR LK-156 does not have no bad
MF HE LK-162 does not have
MFHE slow-258 does not have
SF AR MK-43 is bad on a small quantity
SF HE MK-89 is bad on a small quantity
MF AR MK-125 does not have no bad
MF HE MK-154 does not have no bad
SF AR HK-26 is seldom bad
SF HE HK-59 is seldom bad
MF AR HK-81 does not have
MF HE HK-132 does not have
The method that another kind makes nitrogen-free dielectric anti-reflective coating avoid basic group (basic radicals) is the coating anti-reflection coating.Scanning electron microscope test to the treated anti-reflection coating of amorphous silicon shows there is not significant footing.
Though above at only be the preferred embodiments of the present invention, under the situation that does not break away from base region of the present invention, also can design other and further embodiment of the present invention, and scope of the present invention is indicated in the appended claims.

Claims (54)

1. method that is used to handle substrate, it comprises:
By first process deposits, first anti-reflection layer, described first technology comprises:
To comprise that anaerobic silane-based compound and a kind of first of oxygen and carbon compound that contain handle gas and introduce in the process chamber; And
Make described first to handle gas reaction with the deposition first nitrogen-free dielectric material on substrate, wherein this first nitrogen-free dielectric material comprises silicon and oxygen at least; And
Deposit second anti-reflection layer by second technology on described first anti-reflection layer, described second technology comprises:
Handle gas with second and introduce in the process chamber, described second handles gas comprises described anaerobic silane-based compound and described oxygen and the carbon compound of containing; And
Make described second to handle gas reaction, with the deposition second nitrogen-free dielectric material on described first anti-reflection layer, the wherein said second nitrogen-free dielectric material comprises silicon and oxygen at least, the extinction coefficient of wherein said first anti-reflection layer is higher than the extinction coefficient of described second anti-reflection layer, and the combined reflected rate of described first anti-reflection layer and described second anti-reflection layer is less than 1%.
2. method according to claim 1, wherein said anaerobic silane-based compound comprise that one or more general formulas are Si xH 2x+2, Si xH yCl z, (CH 3) zSi xH yCompound or its combination, wherein X is 1 to 4, Y is 2X+1, Z is 1 to 2X+2.
3. method according to claim 1 wherein saidly contains oxygen and carbon compound is a kind of organosilicon that is selected from following material: tetraethoxysilane (TEOS); Triethoxy silicon fluoride (TEFS); 1,3,5,7-tetramethyl-ring siloxanes (TMCTS); Dimethyldiethoxysilane; And combination.
4. method according to claim 3, wherein described second handle the described anaerobic silane-based compound in the gas and contain oxygen and the ratio of carbon organo-silicon compound between between the 1sccm:20mgm to 6sccm:5mgm.
5. method according to claim 1, wherein said second anti-reflection layer comprises silicon, oxygen and carbon, and oxygen content is between 15 oxygen atom percentage to 50 oxygen atom percentage.
6. method according to claim 1, wherein said second handles gas further comprises a kind of inert gas that is selected from following material: argon; Helium; Neon; Xenon or krypton; And combination.
7. method according to claim 1, wherein saidly make described second to handle gas reaction and comprise by following condition and generate plasma: the RF power stage between 50 watts to 10, between 000 watt, pressure is between 1 holder is held in the palm to 50, and underlayer temperature is between 100 ℃ to 1000 ℃.
8. method according to claim 1 further is included in cvd silicon oxide cover layer on described second anti-reflection layer.
9. method according to claim 1 further comprises the oxidation plasma that described second anti-reflection layer is exposed to a no nitrogen.
10. method according to claim 1 further comprises:
On described second anti-reflection layer, deposit photoresist layer; And
Make described photoresist layer patterning.
11. method according to claim 10 further comprises:
Described first and second anti-reflection layers of etching and lower floor's dielectric material, to limit an interconnection opening that runs through wherein, wherein said lower floor dielectric material formed on described substrate before described first and second anti-reflection layers of deposition; And
Deposit one or more electric conducting materials, to fill described interconnection opening.
12. method according to claim 1 wherein saidly contains oxygen and carbon compound is a carbon dioxide.
13. method according to claim 1, wherein said first handles gas further comprises a kind of inert gas that is selected from following material: argon; Helium; Neon; Xenon or krypton; And combination.
14. method according to claim 1, the refractive index of each of the described first and second nitrogen-free dielectric materials that wherein are deposited is between 1.5 to 2.2.
15. method according to claim 1, the extinction coefficient of each of the described first and second nitrogen-free dielectric materials that wherein are deposited is between 0 to 2.
16. method according to claim 7, wherein saidly make described first to handle gas reaction and comprise by following condition and generate plasma: the RF power stage between 50 watts to 10, between 000 watt, pressure is between 1 holder is held in the palm to 50, and underlayer temperature is between 100 ℃ to 1000 ℃.
17. a method that is used to handle substrate, it comprises:
Handle gas with first and introduce in the process chamber, described processing gas comprises a kind of anaerobic silane-based compound and a kind of oxygen organo-silicon compound that contain, and wherein to have general formula be Si to this anaerobic silane-based compound xH 2x+2, and X is 1 to 4;
Make described first to handle gas reaction, with the deposition first nitrogen-free dielectric material on described substrate, the wherein said first nitrogen-free dielectric material comprises silicon and oxygen;
To comprise that described anaerobic silane-based compound and described second of the oxygen organo-silicon compound that contain handle gas and introduce in the described process chamber; And
Make described second to handle gas reaction with the deposition second nitrogen-free dielectric material on the described first nitrogen-free dielectric material, the wherein said second nitrogen-free dielectric material comprises silicon and oxygen,
The extinction coefficient of the wherein said first nitrogen-free dielectric material is higher than the extinction coefficient of the described second nitrogen-free dielectric material, and the combined reflected rate of described first nitrogen-free dielectric material and the described second nitrogen-free dielectric material is less than 1%.
18. method according to claim 17, wherein said anaerobic silane-based compound is selected from following material: silane; Disilane; Chlorosilane; Two silicon hexachlorides; And combination.
19. method according to claim 17, the wherein said oxygen organo-silicon compound that contain are selected from following material: tetraethoxysilane (TEOS); Triethoxy silicon fluoride (TEFS); 1,3,5,7-tetramethyl-ring siloxanes (TMCTS); Dimethyldiethoxysilane (DMDE); And combination.
20. method according to claim 19 is between between the 1sccm:20mgm to 6sccm:5mgm at described second described anaerobic silane-based compound and the described ratio of handling in the gas that contains the oxygen organo-silicon compound wherein.
21. method according to claim 17, the wherein said second nitrogen-free dielectric material comprises silicon, oxygen and carbon, and oxygen content is between 15 oxygen atom percentage to 50 oxygen atom percentage.
22. method according to claim 17, wherein said second handles gas further comprises inert gas, oxygen and carbon source or its combination; Wherein said inert gas is selected from following material: argon, helium, neon, xenon, krypton, and combination; And described oxygen and carbon source are selected from following material: carbon monoxide, carbon dioxide, and combination.
23. method according to claim 17, the refractive index of the wherein said second nitrogen-free dielectric material are between 1.5 to 2.2, Bees Wax is between 0.3 to 0.9.
24. method according to claim 17 further comprises making the described first and second nitrogen-free dielectric materials be subjected to annealing in process or plasma treatment processing.
25. method according to claim 17, the described second processing gas reaction is comprised with the RF power source produce plasma, this RF power source has high-frequency RF power capacity, low frequency power capacity or has the high-frequency RF power capacity concurrently and the low frequency power capacity.
26. method according to claim 17 wherein makes the described first and second processing gas reactions comprise:
Flow velocity with between 100sccm and 700sccm provides silane to plasma processing chambers;
With 2000mgm or higher flow velocity, provide tetraethoxysilane to this plasma process chamber, with between 500sccm to 10, the flow velocity supply helium between the 000sccm;
Underlayer temperature is remained between 250 ℃ to 450 ℃, the chamber is pressed remain between 3 holders between 10 holders;
For the 200mm substrate, the RF power of supply between 100 watts to 1000 watts; And
Make spacing distance between described substrate and the described processing gas source between 300 mil to 500 mils.
27. a method that is used to handle substrate, it comprises:
On substrate surface, form dielectric layer;
On described dielectric layer, deposit anti-reflection coating, wherein deposit described anti-reflection coating and comprise:
By making first to handle gas reaction with the deposition first nitrogen-free dielectric material on described dielectric layer, thereby form first anti-reflection layer, described first handles gas comprises a kind of anaerobic silane-based compound and a kind of oxygen organo-silicon compound that contain; Wherein said anti-reflection coating comprises silicon and oxygen, and the general formula that described anaerobic silane-based compound has is Si xH 2x+2, and X is 1 to 4; And
By making second to handle gas reaction depositing the second nitrogen-free dielectric material, thereby form second anti-reflection layer on described first anti-reflection layer, described second handles gas comprises described anaerobic silane-based compound and the described oxygen organo-silicon compound that contain;
The extinction coefficient of wherein said first anti-reflection layer is higher than the extinction coefficient of described second anti-reflection layer, and the combined reflected rate of described first anti-reflection layer and described second anti-reflection layer is less than 1%;
On described anti-reflection coating, deposit photoresist layer; And
Make described photoresist layer patterning.
28. method according to claim 27 further comprises:
Described anti-reflection coating of etching and described dielectric layer are to limit an interconnection opening that runs through wherein; And
Deposit one or more electric conducting materials, to fill described interconnection opening.
29. method according to claim 27, wherein said anaerobic silane-based compound is selected from following material: silane; Disilane; Chlorosilane; Two silicon hexachlorides; And combination.
30. method according to claim 27, the wherein said oxygen organo-silicon compound that contain are selected from following material: tetraethoxysilane (TEOS); Triethoxy silicon fluoride (TEFS); 1,3,5,7-tetramethyl-ring siloxanes (TMCTS); Dimethyldiethoxysilane (DMDE); And combination.
31. method according to claim 30 is wherein handled in the gas described first and second, described anaerobic silane-based compound and the described ratio that contains the oxygen organo-silicon compound are between between the 1sccm:20mgm to 6sccm:5mgm.
32. method according to claim 27, wherein said anti-reflection coating comprises silicon, oxygen and carbon, and oxygen content is between 15 oxygen atom percentage to 50 oxygen atom percentage.
33. method according to claim 27, wherein said first and second handle gas further comprises inert gas, oxygen and carbon source or its combination; Wherein said inert gas is selected from following material: argon, helium, neon, xenon, krypton, and combination; And described oxygen and carbon source are selected from following material: carbon monoxide, carbon dioxide, and combination.
34. method according to claim 27, the refractive index of each of the wherein said first and second nitrogen-free dielectric materials is between 1.5 to 2.2, and Bees Wax is between 0.3 to 0.9.
35. method according to claim 27 further comprises making the described first and second nitrogen-free dielectric materials be subjected to annealing in process or plasma treatment processing.
36. method according to claim 27, the described first and second processing gas reactions are comprised with the RF power source produce plasma, this RF power source has high-frequency RF power capacity, low frequency power capacity or has the high-frequency RF power capacity concurrently and the low frequency power capacity.
37. method according to claim 27 wherein makes the described first and second processing gas reactions comprise:
Flow velocity with between 100sccm and 700sccm provides silane to plasma processing chambers;
With 2000mgm or higher flow velocity, provide tetraethoxysilane to this plasma process chamber, with between 500sccm to 10, the flow velocity supply helium between the 000sccm;
Underlayer temperature is remained between 250 ℃ to 450 ℃, the chamber is pressed remain between 3 holders between 10 holders;
For the 200mm substrate, the RF power of supply between 100 watts to 1000 watts; And
Make spacing distance between described substrate and the described processing gas source between 300 mil to 500 mils.
38. method according to claim 27, wherein said dielectric layer comprises the silicon oxide carbide material.
39. method according to claim 27, wherein said dielectric layer is deposited on the barrier material.
40. according to the described method of claim 39, wherein said barrier material comprises oxygen-doped carbofrax material.
41. method according to claim 27 further is included in before the deposition photoresist sedimentary cover on described anti-reflection coating.
42. according to the described method of claim 41, wherein said cover layer is no nitrogen oxide.
43. a method that is used to handle substrate, it comprises:
At least one organic or inorganic dielectric layer of deposition on substrate surface;
On described at least one organic or inorganic dielectric layer, form hard mask layer, wherein this hard mask layer comprises the first nitrogen-free dielectric layer and the second nitrogen-free dielectric layer, and described mask layer deposits by a kind of technology, this technology comprises: make a kind of processing gas reaction with deposition nitrogen-free dielectric material on described substrate, described processing gas comprises a kind of anaerobic silane-based compound and a kind of oxygen organo-silicon compound that contain; Wherein said hard mask layer comprises silicon and oxygen, and the general formula that described anaerobic silane-based compound has is Si xH 2x+2, and X is 1 to 4; The extinction coefficient of the described first nitrogen-free dielectric layer is higher than the extinction coefficient of the described second nitrogen-free dielectric layer, and the combined reflected rate of described first nitrogen-free dielectric layer and the described second nitrogen-free dielectric layer is less than 1%;
In at least one zone of described hard mask layer, form pattern; And
By formed pattern at least one zone of described hard mask layer, in described at least one organic or inorganic dielectric layer, form the parts profile.
44. according to the described method of claim 43, wherein said anaerobic silane-based compound is selected from following material: silane; Disilane; Chlorosilane; Two silicon hexachlorides; And combination.
45. according to the described method of claim 43, the wherein said oxygen organo-silicon compound that contain are selected from following material: tetraethoxysilane (TEOS); Triethoxy silicon fluoride (TEFS); 1,3,5,7-tetramethyl-ring siloxanes (TMCTS); Dimethyldiethoxysilane (DMDE); And combination.
46. according to the described method of claim 43, wherein said anaerobic silane-based compound and the described ratio that contains the oxygen organo-silicon compound are between between the 1sccm:20mgm to 6sccm:5mgm.
47. according to the described method of claim 43, wherein said dielectric material comprises silicon, oxygen and carbon, and oxygen content is between 15 oxygen atom percentage to 50 oxygen atom percentage.
48. according to the described method of claim 43, wherein said processing gas further comprises inert gas, oxygen and carbon source or its combination; Wherein said inert gas is selected from following material: argon, helium, neon, xenon, krypton, and combination; And described oxygen and carbon source are selected from following material: carbon monoxide, carbon dioxide, and combination.
49. according to the described method of claim 43, described processing gas reaction is comprised with the RF power source produce plasma, this RF power source has high-frequency RF power capacity, low frequency power capacity or has the high-frequency RF power capacity concurrently and the low frequency power capacity.
50., further comprise making the described nitrogen-free dielectric material that is deposited be subjected to annealing in process or plasma treatment processing according to the described method of claim 43.
51., described processing gas reaction is comprised according to the described method of claim 43:
Flow velocity with between 100sccm and 700sccm provides silane to plasma processing chambers; With 2000mgm or higher flow velocity, provide tetraethoxysilane to this plasma process chamber; With between 500sccm to 10, the flow velocity supply helium between the 000sccm; Underlayer temperature is remained between 250 ℃ to 450 ℃; The chamber pressure is remained between 3 holders between 10 holders; For the 200mm substrate, the RF power of supply between 100 watts to 1000 watts; And make spacing distance between described substrate and the described processing gas source between 300 mil to 500 mils.
52. according to the described method of claim 43, wherein said at least one organic or inorganic dielectric layer comprises the silicon oxide carbide material.
53. according to the described method of claim 43, wherein said nitrogen-free dielectric layer is deposited on the barrier material.
54. according to the described method of claim 53, wherein said barrier material comprises oxygen-doped carbofrax material.
CNB2003801086137A 2002-12-13 2003-12-10 Nitrogen-free dielectric anti-reflective coating and hardmask Expired - Fee Related CN100481344C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US43344502P 2002-12-13 2002-12-13
US60/433,445 2002-12-13
US60/526,259 2003-12-01

Publications (2)

Publication Number Publication Date
CN1739191A CN1739191A (en) 2006-02-22
CN100481344C true CN100481344C (en) 2009-04-22

Family

ID=36081274

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801086137A Expired - Fee Related CN100481344C (en) 2002-12-13 2003-12-10 Nitrogen-free dielectric anti-reflective coating and hardmask

Country Status (1)

Country Link
CN (1) CN100481344C (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755823B (en) * 2019-09-10 2022-02-21 美商應用材料股份有限公司 Method for depositing a barrier layer and thin film encapsulation structure using the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543715A (en) * 2012-02-28 2012-07-04 上海华力微电子有限公司 Production method of nitrogen-free dielectric antireflective film
CN102945803A (en) * 2012-11-28 2013-02-27 上海华力微电子有限公司 Method for preparing nitrogen-free medium anti-reflection layer film
CN103325709B (en) * 2013-05-28 2016-08-10 上海华力微电子有限公司 A kind of no-nitrogen medium reflecting resistant layer off-line detecting
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
CN116497340B (en) * 2023-06-21 2023-09-12 上海陛通半导体能源科技股份有限公司 Method for forming low-temperature silicon oxycarbide film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755823B (en) * 2019-09-10 2022-02-21 美商應用材料股份有限公司 Method for depositing a barrier layer and thin film encapsulation structure using the same

Also Published As

Publication number Publication date
CN1739191A (en) 2006-02-22

Similar Documents

Publication Publication Date Title
US6927178B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US6541397B1 (en) Removable amorphous carbon CMP stop
KR100960755B1 (en) A method of depositing dielectric materials in damascene applications
US7115534B2 (en) Dielectric materials to prevent photoresist poisoning
US7229911B2 (en) Adhesion improvement for low k dielectrics to conductive materials
US6974766B1 (en) In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
CN100483645C (en) Plasma treatment to improve adhesion of low k dielectrics
US7910897B2 (en) Process and apparatus for post deposition treatment of low dielectric materials
US7091137B2 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
KR100801369B1 (en) Method of depositing dielectric films
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
CN100437933C (en) Method of improving interlayer adhesion
US20050277302A1 (en) Advanced low dielectric constant barrier layers
US20130230986A1 (en) Adhesion improvement for low k dielectrics to conductive materials
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
CN100481344C (en) Nitrogen-free dielectric anti-reflective coating and hardmask
KR101106425B1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
WO2004104698A2 (en) Dielectric materials to prevent photoresist poisoning

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CI01 Correction of invention patent gazette

Correction item: Inventor (fifth, sixth inventor)

Correct: Y * M * Wang|H * Masad

False: Y. M Wang The H - masad Y M King The H - masad

Number: 8

Volume: 22

CI02 Correction of invention patent application

Correction item: Inventor (fifth, sixth inventor)

Correct: Y * M * Wang|H * Masad

False: Y. M Wang The H - masad Y M King The H - masad

Number: 8

Page: The title page

Volume: 22

COR Change of bibliographic data

Free format text: CORRECT: INVENTOR (NO.5, 6 INVENTOR); FROM: WANG Y. M. M. SAAD HICHEM WANG Y. M. M. SAAD HICHEM TO: WANG Y. M. M. SAAD HICHEM

ERR Gazette correction

Free format text: CORRECT: INVENTOR (NO.5, 6 INVENTOR); FROM: WANG Y. M. M. SAAD HICHEM WANG Y. M. M. SAAD HICHEM TO: WANG Y. M. M. SAAD HICHEM

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090422

Termination date: 20141210

EXPY Termination of patent right or utility model