CN100474556C - 联合注入后的薄层灾变转移的方法 - Google Patents

联合注入后的薄层灾变转移的方法 Download PDF

Info

Publication number
CN100474556C
CN100474556C CNB2004800293223A CN200480029322A CN100474556C CN 100474556 C CN100474556 C CN 100474556C CN B2004800293223 A CNB2004800293223 A CN B2004800293223A CN 200480029322 A CN200480029322 A CN 200480029322A CN 100474556 C CN100474556 C CN 100474556C
Authority
CN
China
Prior art keywords
thing class
source substrate
heat treatment
selecting
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2004800293223A
Other languages
English (en)
Other versions
CN1864256A (zh
Inventor
纳古耶特-福恩格·纳古耶恩
伊恩·凯瑞福克
克里斯蒂尔·拉加赫-布兰查德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soitec SA
US Atomic Energy Commission (AEC)
Original Assignee
Soi Teker Isolator Silicon Technology
US Atomic Energy Commission (AEC)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Soi Teker Isolator Silicon Technology, US Atomic Energy Commission (AEC) filed Critical Soi Teker Isolator Silicon Technology
Publication of CN1864256A publication Critical patent/CN1864256A/zh
Application granted granted Critical
Publication of CN100474556C publication Critical patent/CN100474556C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers

Abstract

本发明涉及一种薄层灾变转移方法,根据所述方法,往一个源基片中给定深度处注入第一物类离子或气体和第二物类离子或气体,该第一物类能够产生缺陷,而第二物类能够占据这些缺陷,将一个加强件与该源基片紧密接触,对该源基片在给定温度下进行给定时间的热处理,以便在大致上给定的深度产生一个脆弱化的内埋区域,但并不引发薄层的热脱离,对该源基片施加一个局部能量供应,例如机械应力,以便引发一个薄层的灾变脱离,该薄层在与所述表面的相对侧有一个粗糙度低于给定阈值的表面。

Description

联合注入后的薄层灾变转移的方法
技术领域
本发明涉及一种通过联合注入在低温下转移超薄层(也有用薄层这个词的)的方法。它尤其应用在微电子、微机械、光学、集成电子领域中。
背景技术
正如已知的那样,可以通过往源基片(例如由硅构成)中注入化学物类以促使形成一个在一定深度的缺陷区的方法来实现薄层的脱离。这些缺陷可能是一些微小泡和/或小片和/或微小空穴和/或错位的环和/或其它晶体缺陷,从而局部地扰乱了材料的晶体质量;其特性、密度、尺寸都大大地依赖于被注入的物类(典型地,注入氢)以及源基片的特性。然后可以应用热处理,以容许存在于脆弱区中的特定缺陷的发展,从而容许原则上通过对缺陷施加压力而实现该薄层以后从源基片上脱离。这尤其在文档US-5 374 564和其延续(其中包括文档US-6 020 252)中被描述。
可以通过这样的方式来实现脱离:通常在热处理以后,施加一个引发脆弱区域中的断裂直到薄层脱离的外力。
当在高温(典型地,500℃左右)下进行脱离时,在遇到的技术问题中,应该提到表面粗糙度及热脱离过程中转移的层的劣化。这使得后续的处理步骤更加困难(例如:需要更多地抛光所转移的层,在后续处理期间可能产生晶体缺陷,......)。此外,在异质结构(包括不同材料基片的重叠)中,所遇到的另一个技术问题就是由于相互接触的各种材料的热膨胀系统不同而在热处理过程中存在于相互接触的各种层中的很强的应力场。如果热脱离在比临界温度更高的温度下发生,这可能引发异质结构的劣化。典型地,这种劣化可能是相互接触的一个或两个基片的破碎和/或基片在粘结界面处的脱离。
这就是为什么可能希望在更低的温度下实现脱离。
一种实现这种低温脱离的方式就是在注入条件上想办法。例如,被注入物质的过剂量容许增加被注入区的脆化,在该被注入区中发生低温下的脱离。
Henttinen等人(2000)[1]就是这样描述的:如果源基片是硅片,1 x 1017H+/cm2(即5 x 1016H2/cm2)的注入的氢离子量容许在实施如下步骤后通过机械力脱离:通过化学激活等离子进行与目标基片相同的处理;RCA1类型的清洗,在室温下将源基片粘结到目标基片上,并在200℃退火2小时。所使用的机械力来源于插在被粘结界面上用以引发脱离的刀片。
尽管降低了被转移表面的粗糙度(与经典的脱离方案(即纯热且无等离子激活)相比,大约为其一半),这种方法意味着一个等离子化学激活步骤以及随后的RCA1清洗,这从工业角度来看是一个很大的弊病(高成本)。
此外,重要的是要注意到:由于被注入的氢的大剂量,粘结之后的热处理不能超过300℃,在300℃时就可能发生热脱离,在发生热脱离的情况下就再也得不到被转移表面的粗糙度下降的优点了:因而,根据HENTTINNEN描述的技术,为了在其热固化以前增强粘合,一个等离子化学激活以及随后的RCA1清洗就是必不可少的了。
重要的是要注意到:事实上,决定后来的薄层脱离条件的不仅仅有处理温度,而且还有处理期间,这产生热预算的概念(见FR-2767416-CEA);至于提供机械能,它是由一个例如“剪床”类型的工具实施的(见WO 02/083387-SOITEC)。
因此,人们已经注意到:如果热预算太低,则薄层转移的质量不佳;而如果热预算太高,则在异质结构的情况下可能存在某个基片的断裂。因而人们认识到原则上对于运行参数存在一个狭窄的窗口(当然这些参数是与各条件,尤其是注入量、材料特性、退火温度等等相关的),然而该狭窄性对工业运营构成了一个严重的束缚。
此外,这种机械脱离经常在于从结构的边缘引入一个或多个刀片,如同为了沿脆弱区“切割”它一般;有时候用辅助脱离这个词,因为工具(例如刀片)的作用是从该结构的一边到另一边扩展断裂波。
这类断裂在通过薄层脱离而释放出来的未来表面处带来如下缺陷:
-环状缺陷(未转移区域,在最后产品周围),例如与相对于界面其余部分太弱的局部粘结能量有关,也与为了启动转移而引入工具有关,
-尤其是由于机械辅助的(因而是不规则的、断续的)断裂波,被转移薄层的厚度不均匀(低频粗糙度),这然后使得比如抛光这样的处理成为必要,而这些处理通常是人们力图避免的,
-困难的工业布署,这是考虑到使用伴随断裂扩展的工具,从而意味着对每个结构(片)的单独处理。
这些弊病的大多数存在于从一个均质基片(由单一材料构成,例如SOI)脱离薄层的情况下。
当然,薄层的脱离还受选择注入的化学物类的影响。
上文已经指出通常注入氢,但其它的选择也被提议过,尤其是注入氦。
甚至可以存在两种不同化学物类的混合使用。
Agarwal等人(1998)[2]就是这样地注意到了这个事实:同时注入氢和氦能够减少注入离子的总量,看来是由于氢和氦所起的不同作用:氢与由于注入而断开的Si-Si键相互作用,以产生Si-H键,从而导致高密度的、大约3-10nm大小的小盘类型的缺陷(称作氢-缺陷,小盘类型),而化学不活动的氦则导致出现更低密度的、更大的缺陷(尺寸大于300nm左右)。该文章中考虑的热处理为450℃20分钟或750℃20秒钟,这必然意味着前述的关于高温下脱离的弊病。
Cerofolini等人(2000)[3]还以更加理论的方式研究了这种氢-氦混合,他们注意到:通过注入氦对缺陷加压比对通过注入氢加压更加困难,而且热处理可能根据所选择的温度而有不同的效果:150℃-250℃的退火引发Si-H键的数量减少,相反,300℃-450℃范围的退火则引发其数量增加,而超过550℃的退火趋向于重新减少其数量。但该文章并没有从中推导出关于以适中的成本获得优质薄层(尤其从表面状况的角度)的方式的实用结论。
发明内容
本发明的目的就是缓解前述的弊病。
更确切地说,本发明的内容是这样一种薄层转移方法,该方法促成被转移层的表面的低粗糙度,而且在材料具有膨胀系数的很大差异的情况下不引起强的机械应力。换句话说,本发明的目的是通过同时避免高温下热处理的弊病,以及与为了辅助脱离而使用工具相关的、及与为了降低脱离后的粗糙度而进行的附加处理相关的弊病,从而以适中的成本获得优质薄层。
为此,本发明提出一种薄层灾变转移方法,根据所述方法:
-准备一个源基片,
-向该源基片中相对于其一表面的一给定深度处以第一剂量注入第一物类离子或气体,并以第二剂量注入第二物类离子或气体,该第一物类能够产生缺陷,而第二物类能够占据这些缺陷,
-将一个加强件与该源基片紧密接触,
-对该源基片在一给定温度下进行一给定时间的热处理,以便在大致上给定的深度建立一个脆弱的内埋区域,但并不引发该薄层的热脱离,
-对该源基片施加一个局部能量供应,以便引发被界定在表面与脆弱埋层之间的一个薄层相对于该源基片的其余部分的灾变脱离,该薄层在与所述表面的相对侧有一个粗糙度低于一给定阈值的表面。
在此可以定义灾变脱离为完全而几乎瞬间的脱离,与通过高温下的简单热处理所获得的脱离类似,但可能由一个不必沿着任何断裂波的工具引发(如果有工具的话,该工具至多与该基片和该薄层接触,而不沿着脱离界面推进);困而换句话说,这是断裂波的自维持扩展的结果,也就是说与辅助脱离相反。
通过断裂波的自维持扩展,当然就不需要通过推进一件工具或通过重复启动能量脉冲来辅助该波的扩展。并且本发明的一个重要特征是该断裂波在少于1秒的时间内被扩展到片的整个表面,甚至对于直径达300mm的也在毫秒级(速度大于100m/s)。
自维持断裂的一个可能原因来自于内埋缺陷的特性。已从事过的研究(针对锗,但对硅也适用)表明:在“C-分裂”(C-splitting)的条件下,缺陷(微裂纹、空腔、小盘、微小的或大的泡,......)的密度介于每平方微米0.03与0.035之间,其尺寸约7至8平方微米,并且,看来更具有特征性的是,这些缺陷所裂开的面积与片的总面积之比介于25和32%之间。这些值与在热产生断裂期间所得到的值相似,但与那些在需要辅助机械断裂的脆弱化处理之后所得到的值不同。当然,该解释并不排除一些其它的结果或原因,尤其,基片中的键的化学特性也可能利于自维持断裂波现象的出现。
换句话说,本发明从一个方面看来在于支持从本质上与在热断裂过程中获得的条件接近的脆弱化条件,然而并不把这些条件引导至这一点。于是,能量的补足部分由局部能量供应来提供
更确切地说,本发明是建立在注入两种不同物类的基础之上的。其中一种被注入物类根据其注入能量确定后来通过形成特定缺陷而发生脱离的区域;另一种对应于一类被捕获在该区域中的原子,这类原子看来将通过如下方式而有利于前文中指明的特定缺陷的扩展:通过在往硅基片注入氢的情况下阻止Si-H键分解(因而也就是通过有利于小盘类型的H-缺陷)及通过将这些缺陷置于压力之下,从而提高在较低温度下被注入的区域的脆弱程度。
有利地选择热处理温度,以便利于小盘类型的缺陷而不因此引发热脱离。该温度将足够低,以便不会在假定源基片和/或可能的目标基片包含具有很不同的膨胀系数的材料的情况下在基片中产生强大的机械应力。正因为如此,该方法是一个在相对低温下发生转移的方法(在氢-氦联合注入的情况下,为了避免氦气逸出,不超过400℃)。
值得着重强调的是:根据本发明,在联合注入两种气体物类,比如氢和氦,以及在低温下(低于前述的阈值400℃,甚至低于300℃)对结构进行热脆弱化的时候,观察到了如下现象:令人惊奇地是,我们改变了脱离(英文的“splitting”,)的特性;我们因此得以高度脆弱化被注入区而不产生纯热脱离,无论退火时间多长(在上文中定义的低温下退火24小时后也未观察到脱离);相反,通过简单地提供在时间和空间上局部化的能量(例如在被脆弱化界面范围内的一个机械冲击),脱离就以灾变的方式触发了。因此,看来,在前文陈述的条件下的伴随着低温下热处理的联合注入的情况下,前文引用的文档FR-2 767 416中的阐述的热预算的概念不再适合。
这些结果说明了:
·退火步骤的运行窗口被扩大:这种预脆弱化的退火的期限的最大界限不再存在(或者被大大地往后推了);这十分有利于本方法的工业化,
·既然灾变断裂容许瞬间并且在片的整个截面无停顿地扩展断裂波,那么就不存在辅助脱离了;不需要任何刀片在基片与未来的薄层之间穿过,从而明显地改善如此产生的表面的拓扑学(因而粗糙度更低),并避免环状缺陷,从而使得整个薄层,包括其周边,都可以使用;不再需要引入工具这一事实还有利于本方法的工业化。
发明家们还没有针对这一新动力学的清楚解释。
如果最迟在热处理的时刻将源基片通过所述表面与一个加强件或目标基片紧密接触,那么热处理有助于增进这些基片间的粘结能量。
该局部能量供应最好以一个冲击或脉冲的形式施加。有利的是,它可以由一个被短暂而低幅度的运动驱动的工具施加。
有利的是,这个能量供应被施加到埋层附近,只局限于埋层的一部分,最好是其周边。
尤其,该脉冲还可以由一个局部的热供应(例如由一个激光脉冲施加)或一个外部应力(例如在脆弱区的附近,沿着其一边)构成。
事实上,本发明条件下的往源基片中联合注入氢和氦的实验导致了灾变脱离,即完全的并且几乎瞬间的脱离,伴随着借助一个非常弱的能量供应而引发的、连续而平整的断裂波扩展,这尤其是为了避免表面波纹,即避免与递进脱离相比较的、断裂后表面粗糙度的重大差异。因此,该灾变脱离意味着随后更少的抛光。
值得着重指出的是:由于界面粘合较弱,低温看起来不容许源基片与目标基片之间的足够牢固的粘合,就此而言,定义一个保证在无等离子激活的低温脱离之后的低粗糙度的方法过去可能在理论上显得困难。当然,根据本发明的方法可以使用等离子激活将要接触的面中的至少一个而没有什么害处,但与HENTTINEN描述的技术相反的是,这种激活并非必不可少。
优先地,在注入第二物类之后再注入产生利于脱离的缺陷的物类;有利的是,实现了让该第二物类被定位在由第一物类产生的缺陷区域。
有利的是,在硅的情况下,该第一物类是按标准浓度(典型地大约1016H/cm2)注入的氢(最好以H+的形式),注意氢对产生脆弱薄层显示出重大的效用。在该层处,为了利于脆弱化缺陷的形成而发挥被捕获原子的作用的第二物类(最好是氦)被以较低的剂量(典型地,大约1016He/cm2,或几个1016He/cm2)注入。
当源基片被粘结到一个目标基片后,低温热处理(然而仍然足以获得粘结界面的良好牢固程度)的作用就是让第二物类氦的原子在氢产生的缺陷中扩散。在这个较低温度下获得的最大脆弱化容许极不粗糙(大约几个纳米)的超薄层脱离,该脱离由一个外力引发。
本方法与Henttinen描述的技术的根本不同点在于不必实施可能严重损伤被注入区的过剂量的氢。联合注入的效果就是容许在没有附加方法(化学等离子激活和RCA1)介入的情况下、在足以保证粘合界面牢固的温度下获得该区域的最大脆弱化。此外,本发明还产生一个与通过热脱离获得的粗糙度相比更好的、被转移薄层的粗糙度。
有利的是,第二物类(例如氦)与第一物类(氢)注入到同一范围,但作为变型,第二物类可以注入到源基片中一个错开的、更深的深度(例如),以缩减被注入所劣化的区域。这个错开的范围可以与第一个明确分开,例如在源基片中深得多的地方。在这种情况下,看来被注入的第二物类的一小部分被在它注入途中所遇到的缺陷捕获。
事实上,联合注入容许选择一个200℃至400℃的温度。
优先地,源基片由从单晶的、多晶的或非晶的半导体和绝缘体中选出的材料构成。因此它可以被IV半导体选取(一个特别有意思的实例就是硅,但也尤其涉及锗或Si-Ge合金)。
至少在这种情况下,该热处理温度被有利地从一个从温度范围200℃-400℃中选出,例如最好是300℃-350℃,持续几个小时的期间(典型地,大约2至5小时)。该源基片还可以由III-V类型的半导体材料(例如AsGa或InP)构成或由最好是从包括LiNbO3和LiTaO3的集合中选出的材料构成。
热处理还可以具有一个调整曲线,以便降低该处理的温度,正如例如于2002年12月10日提交的欧洲专利申请02-293049中所披露的那样。
事实上,温度范围主要依赖于被注入物质的特性和源基片的构成材料的特性。
至于目标基片或加强件,以非晶材料的形式,最好是以石英玻璃(silice fondue)的形式选取它有利一些。但也可以是单晶或多晶的材料,尤其是硅的或蓝宝石的材料。
附图说明
本发明的目的、特征、优点会从随后的、与附图对照的说明性的而非限制性的描述中显现出来,这些图中:
·图1是一个正处于注入过程中的源基片的示意图,
·图2是它与一个目标基片紧密接触(粘结)之后的后期视图,和
·图3是它正处于使来自源基片的一个薄层脱离的过程中的视图。
具体实施方式
因此,图1表示一个基片1,例如由硅构成,有利地被氧化了表面4,该基片正处于离子或气体物类注入处理(例如通过轰击)的过程中,由箭头2示意。
这种注入意味着在一给定的深度注入能够产生缺陷的第一物类,例如氢,最好以H+离子的形式,以及注入能够占据这些缺陷的第二物类,例如氦。在所表示的情况下,两种物类被注入到同一深度,但在变型方式中,第二物类注入到与第一物类不同的深度,例如更深的深度。
可以先注入第一物类,也就是氢,随后氦在注入以后可以占据这样产生的缺陷。然而,相反的注入顺序可能更可取,即使两次注入并不在同一深度。
这就导致一个具有缺陷的脆弱内埋区域3,这些缺陷主要由第一物类产生,而第二物类将促进这些缺陷的发展。
该脆弱区3在源基片内界定了一个未来的薄层5和源基片剩余部分6,也就是在薄层脱离之后源基片的将剩余的部分;该剩余部分将可以作为本方法新一轮实施中的源基片。
图2表示将包含了脆弱内埋区域3的源基片通过表面4与目标基片7的对应面紧密接触的步骤,这种紧密接触一般是通过直接分子粘合来实现的,直接分子粘合是加强件(raidisseur)的功能。
于是我们应用热处理,热处理将一方面容许埋层3的脆弱化的发展,另一方面容许在粘结步骤进行加强源基片与目标基片之间的粘合关系。
更确切地说,该热处理的温度是从适合发展脆弱区(在本情况下也就是各Si-H键)的一系列温度中选取的。
有利的是,该处理被引入一个从温度范围200℃-400℃中选出的温度,最好是300℃-350℃,持续一个典型地选定的、几个小时的期间,例如2小时。因此,热预算(温度-期间时)是工业可实现的。
图3表示薄层3相对于源基片的剩余部分脱离下来的步骤,这个脱离步骤是通过应用一个局部能量供应(最好是简短的并且幅度受限的,例如以冲击或脉冲的形式)来实现的。
例如,它由一个由箭头10示意的机械应力构成。
不存在工具沿着脆弱层的运动,从这个意义上来说,所获得的脱离是灾变的。
在此,该局部能量供应被限制在埋层的一部分,该能量供应被以一个楔子效应的形式示意出来,该楔子效应与一个象刀片这样的工具施加在该脆弱埋层的一部分上(或附近)的冲击相对应;但它也可以是任何其它性质的,例如一个平行于脆弱内埋区域的平面的、有利地以一个角形小幅度脉冲形式被施加的力偶。得益于本发明的方法,通过灾变脱离而从脆弱内埋区域(实际上几乎是平面的)中释放出来的薄层的表面具有比根据经典方案低得多的粗糙度Ra,而并未需要提供对被转移表面的特殊处理及脱离后的大量(“粗糙”)抛光。应该注意到:既然脱离是灾变的,就不存在易于在表面产生波纹的断裂波的真正断续扩展,并且,既然不存在任何工具沿着新产生的表面的运动(或埋层的两部分之间的相对运动),就不存在如此释放出的表面的劣化,因此由灾变脱离引发的各表面具有很光滑的表面状况。
源基片1不仅可以由硅构成,而且更通常是由任何已知的适合的材料,例如IV或III-V半导体、单晶的或多晶的、甚至非晶质的。因此该源基片可以是:
·元素周期表第IV列的其它半导体,例如锗,
·尤其象AsGa或InP这样的III-V类型的半导体,
·例如铌酸盐或钽酸盐类型的绝缘体,尤其象LiNbO3或LiTaO3这样的。
至于目标基片,它可以根据需要选择由各种单晶或多晶的材料(例如从用于源基片的相同材料中选择的半导体)甚至非晶质的材料(例如玻璃或聚合物)制成;困此它尤其可以是:
·象蓝宝石这样的晶状材料,
·石英玻璃或另一种玻璃,
·用任何已知的合适技术拆下的简单的硬化层(确实,这就不再与各图中所表示的类型的整块目标基片相对应了),例如几十纳米厚的氧化物。
应该注意:目标基片可以只是一个中间基片,其薄层然后被转移到一个最终基片上。
实例
根据本发明的一个第一实施例子,一个在表面(例如145nm)包含一个热SiO2层的Si基片(-700μm)可以首先在70KeV-1016He/cm2的注入条件下被注入氦原子,然后在30KeV-4.25x1016H/cm2的注入条件下被注入氢原子。然后该源基片可以通过直接粘合而被粘结到一个目标Si基片(-700μm)上。一个350℃左右的热处理引起局限在氢浓度最大的地方的小盘类型空腔的增加。氦原子在该处发挥被捕获原子的作用,并在被施加的温度下产生最大量的小盘类型的缺陷。一定时间以后(例如2小时),刚一以冲击的形式往粘结界面之间插入一个刀片,氢浓度最大处的灾变脱离就导致Si薄层转移到目标基片上。高频下测得(用原子力显微镜)的被转移表面的粗糙度为大约45至50埃,低频下测得(轮廓仪)的该被转移表面的粗糙度为大约10埃,被转移表面的这些粗糙度和非晶性大大低于在只有氢注入(32KeV-5.5 x 1016H/cm2)、随后进行500℃热处理的情况下可能获得的粗糙度和非晶性(低频粗糙度约26埃,高频粗糙度约75埃)。
根据本发明的另一个实施例子,一个在表面(例如200nm)包含一个热SiO2层的Si基片(大约300μm)首先在70KeV-2 x 1016He/cm2的注入条件下被注入氦原子,然后在30KeV-3 x 1016H/cm2的注入条件下被注入氢原子。然后该源基片通过直接粘合而被粘结到一个石英玻璃材料的目标基片(大约1000μm)上。一个300℃左右的热处理引起位于氢浓度最高的地方的小盘类型空腔的增加,被氢缺陷捕获的被注入氦原子助于在被施加的温度下的小盘类型的最多数目的缺陷的形成。一定时间(例如3小时)以后,利用一个刚插入粘结界面之间并由一个运动脉冲(因而,一个冲击)驱动的刀片,氢浓度最大处的灾变脱离就导致Si薄层转移到熔化的氧化硅基片上,不仅没有破碎发生,而且脱离后从异质结构中产生的基片中的任何一个都没有劣化(石英玻璃基片包含Si薄层,而起初的Si基片则被剥去了表面的薄层)。该被转移表面的由轮廓仪在低频下测得的粗糙度(低频下大约14埃,高频下大约75埃)和该被转移表面的非晶性都大大低于在根据高温下递进机械脱离方式的只有氢注入(32KeV-5.5 x 1016H/cm2)的情况下可能获得的粗糙度和非晶性(高频粗糙度约90埃,低频粗糙度约40埃)。
参考文献
[1]K.Henttinen等人,应用物理快报,16卷,17、24号,2000年4月;2370-2372页(K.Henttinen et al.Applied Physics Letters,Volume 16,Number17,24 April 2000;pp.2370-2372)
[2]A.Argawal等人,应用物理快报,72卷,9、2号,1998年3月;1086-1088页(A.Argawal et al.Applied Physics Letters,volume72,Number 9,2 March 1998;pp.1086-1088)
[3]G.F.Cerofolini等人,材料科学与工程B71-2000,196-202页(G.F.Cerofolini et al.,Materials Science and Engineering B71-2000,pp.196-202)

Claims (42)

1.一种薄层灾变转移方法,根据所述方法:
-准备一个源基片(1),
-向该源基片中相对于其一表面的一给定深度处以第一剂量注入第一物类离子或气体,并以第二剂量注入第二物类离子或气体,该第一物类能够产生缺陷,而该第二物类能够占据这些缺陷,
-将一个加强件(7)与该源基片紧密接触,
-对该源基片在一给定温度下进行一给定时间的热处理,以便在该给定深度建立一个脆弱化内埋层,但并不引发被界定在表面与脆弱化内埋层之间的薄层的热脱离,
-对该源基片的脆弱化内埋层的仅一部分施加一个局部能量供应(10),以便引发该薄层相对于该源基片的其余部分的灾变即完全且瞬间的脱离,该薄层在与所述表面的相对侧具有一个没有表面波纹的平滑表面。
2.根据权利要求1的方法,其特征在于:以一个局部的热供应的形式施加该局部能量供应。
3.根据权利要求1的方法,其特征在于:以脉冲或冲击的形式施加该局部能量供应。
4.根据权利要求1的方法,其特征在于:以在该脆弱化内埋层的周围区域的一个冲击的形式施加该局部能量供应。
5.根据权利要求1至4中任意一项的方法,其特征在于:与该源基片紧密接触的该加强件是目标基片,该热处理有助于增强这些基片之间的粘结能量。
6.根据权利要求5的方法,其特征在于:该目标基片由非晶材料制成的。
7.根据权利要求5的方法,其特征在于:该源基片由硅制成,而该目标基片由石英玻璃制成。
8.根据权利要求5的方法,其特征在于:该目标基片由单晶材料或多晶材料制成。
9.根据权利要求8的方法,其特征在于:该目标基片由硅制成。
10.根据权利要求1至4中任意一项的方法,其特征在于:在注入该第二物类之后再实施注入该第一物类。
11.根据权利要求1至4中任意一项的方法,其特征在于:准备由从单晶的、多晶的、或非晶质的半导体和绝缘体中选出的一种材料制成的该源基片。
12.根据权利要求6的方法,其特征在于:准备由从单晶的、多晶的、或非晶质的半导体和绝缘体中选出的一种材料制成的该源基片。
13.根据权利要求11的方法,其特征在于:准备由从IV族半导体中选出的一种材料制成的该源基片。
14.根据权利要求13的方法,其特征在于:由硅制成该源基片。
15.根据权利要求1至4中任意一项的方法,其特征在于:在从范围200℃-400℃中选出的一温度下实施该热处理。
16.根据权利要求13的方法,其特征在于:在从范围200℃-400℃中选出的一温度下实施该热处理。
17.根据权利要求15的方法,其特征在于:在从范围300℃-350℃中选出的一温度下实施该热处理。
18.根据权利要求13的方法,其特征在于:在从范围300℃-350℃中选出的一温度下实施该热处理。
19.根据权利要求15的方法,其特征在于:该热处理进行2小时至5小时。
20.根据权利要求17的方法,其特征在于:该热处理进行2小时至5小时。
21.根据权利要求18的方法,其特征在于:该热处理进行2小时至5小时。
22.根据权利要求11的方法,其特征在于:准备由III-V类型半导体材料制成的该源基片。
23.根据权利要求11的方法,其特征在于:由LiNbO3或LiTaO3制成该源基片。
24.根据权利要求1至4中任意一项的方法,其特征在于:该第一物类是氢。
25.根据权利要求13的方法,其特征在于:该第一物类是氢。
26.根据权利要求24的方法,其特征在于:该第一物类是H+类型的氢。
27.根据权利要求26的方法,其特征在于:以几个1016H/cm2的剂量注入该第一物类。
28.根据权利要求1至4中任意一项的方法,其特征在于:该第二物类是氦。
29.根据权利要求24的方法,其特征在于:该第二物类是氦。
30.根据权利要求29的方法,其特征在于:在注入该第二物类之后再实施注入该第一物类。
31.根据权利要求28的方法,其特征在于:以几个1016He/cm2的剂量注入该第二物类,该剂量低于该第一物类的剂量。
32.根据权利要求27的方法,其特征在于:以几个1016He/cm2的剂量注入该第二物类,该剂量低于该第一物类的剂量。
33.根据权利要求14的方法,其特征在于:在从范围200℃-400℃中选出的一温度下实施该热处理。
34.根据权利要求14的方法,其特征在于:在从范围300℃-350℃中选出的一温度下实施该热处理。
35.根据权利要求14的方法,其特征在于:该第一物类是氢。
36.根据权利要求17的方法,其特征在于:该第一物类是氢。
37.根据权利要求20的方法,其特征在于:该第一物类是氢。
38.根据权利要求21的方法,其特征在于:该第一物类是氢。
39.根据权利要求7的方法,其特征在于:准备由从单晶的、多晶的、或非晶质的半导体和绝缘体中选出的一种材料制成的该源基片。
40.根据权利要求8的方法,其特征在于:准备由从单晶的、多晶的、或非晶质的半导体和绝缘体中选出的一种材料制成的该源基片。
41.根据权利要求9的方法,其特征在于:准备由从单晶的、多晶的、或非晶质的半导体和绝缘体中选出的一种材料制成的该源基片。
42.根据权利要求34的方法,其特征在于:该热处理进行2小时至5小时。
CNB2004800293223A 2003-10-28 2004-10-28 联合注入后的薄层灾变转移的方法 Active CN100474556C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR0312621A FR2861497B1 (fr) 2003-10-28 2003-10-28 Procede de transfert catastrophique d'une couche fine apres co-implantation
FR0312621 2003-10-28

Publications (2)

Publication Number Publication Date
CN1864256A CN1864256A (zh) 2006-11-15
CN100474556C true CN100474556C (zh) 2009-04-01

Family

ID=34400866

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2004800298231A Active CN100474557C (zh) 2003-10-28 2004-10-28 注入或联合注入后由冲击引发薄层自持转移的方法
CNB2004800293223A Active CN100474556C (zh) 2003-10-28 2004-10-28 联合注入后的薄层灾变转移的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2004800298231A Active CN100474557C (zh) 2003-10-28 2004-10-28 注入或联合注入后由冲击引发薄层自持转移的方法

Country Status (8)

Country Link
US (1) US8309431B2 (zh)
EP (2) EP1678754B1 (zh)
JP (2) JP5142528B2 (zh)
KR (2) KR101120621B1 (zh)
CN (2) CN100474557C (zh)
FR (1) FR2861497B1 (zh)
TW (1) TWI349303B (zh)
WO (2) WO2005043616A1 (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
FR2848336B1 (fr) 2002-12-09 2005-10-28 Commissariat Energie Atomique Procede de realisation d'une structure contrainte destinee a etre dissociee
FR2856844B1 (fr) 2003-06-24 2006-02-17 Commissariat Energie Atomique Circuit integre sur puce de hautes performances
US7772087B2 (en) 2003-12-19 2010-08-10 Commissariat A L'energie Atomique Method of catastrophic transfer of a thin film after co-implantation
WO2006070220A1 (en) 2004-12-28 2006-07-06 S.O.I.Tec Silicon On Insulator Technologies Method for obtaining a thin layer having a low density of holes
FR2889887B1 (fr) 2005-08-16 2007-11-09 Commissariat Energie Atomique Procede de report d'une couche mince sur un support
FR2891281B1 (fr) 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
FR2895563B1 (fr) 2005-12-22 2008-04-04 Soitec Silicon On Insulator Procede de simplification d'une sequence de finition et structure obtenue par le procede
FR2898431B1 (fr) 2006-03-13 2008-07-25 Soitec Silicon On Insulator Procede de fabrication de film mince
US9362439B2 (en) * 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
FR2910179B1 (fr) 2006-12-19 2009-03-13 Commissariat Energie Atomique PROCEDE DE FABRICATION DE COUCHES MINCES DE GaN PAR IMPLANTATION ET RECYCLAGE D'UN SUBSTRAT DE DEPART
FR2912258B1 (fr) 2007-02-01 2009-05-08 Soitec Silicon On Insulator "procede de fabrication d'un substrat du type silicium sur isolant"
FR2912259B1 (fr) * 2007-02-01 2009-06-05 Soitec Silicon On Insulator Procede de fabrication d'un substrat du type "silicium sur isolant".
WO2008156056A1 (ja) * 2007-06-18 2008-12-24 Seiko Epson Corporation シリコン基材の接合方法、液滴吐出ヘッド、液滴吐出装置および電子デバイス
JP4967842B2 (ja) * 2007-06-18 2012-07-04 セイコーエプソン株式会社 シリコン基材の接合方法、液滴吐出ヘッド、液滴吐出装置および電子デバイス
JP4858491B2 (ja) * 2007-06-18 2012-01-18 セイコーエプソン株式会社 シリコン基材の接合方法、液滴吐出ヘッド、液滴吐出装置および電子デバイス
FR2925221B1 (fr) 2007-12-17 2010-02-19 Commissariat Energie Atomique Procede de transfert d'une couche mince
TWI492275B (zh) * 2008-04-10 2015-07-11 Shinetsu Chemical Co The method of manufacturing the bonded substrate
FR2947098A1 (fr) 2009-06-18 2010-12-24 Commissariat Energie Atomique Procede de transfert d'une couche mince sur un substrat cible ayant un coefficient de dilatation thermique different de celui de la couche mince
JP5643509B2 (ja) * 2009-12-28 2014-12-17 信越化学工業株式会社 応力を低減したsos基板の製造方法
JP5926887B2 (ja) * 2010-02-03 2016-05-25 株式会社半導体エネルギー研究所 Soi基板の作製方法
FR2961948B1 (fr) * 2010-06-23 2012-08-03 Soitec Silicon On Insulator Procede de traitement d'une piece en materiau compose
FR2968121B1 (fr) 2010-11-30 2012-12-21 Soitec Silicon On Insulator Procede de transfert d'une couche a haute temperature
US8841203B2 (en) * 2011-06-14 2014-09-23 International Business Machines Corporation Method for forming two device wafers from a single base substrate utilizing a controlled spalling process
US9257339B2 (en) * 2012-05-04 2016-02-09 Silicon Genesis Corporation Techniques for forming optoelectronic devices
US9092187B2 (en) 2013-01-08 2015-07-28 Apple Inc. Ion implant indicia for cover glass or display component
US9623628B2 (en) 2013-01-10 2017-04-18 Apple Inc. Sapphire component with residual compressive stress
KR101641807B1 (ko) 2013-02-12 2016-07-21 애플 인크. 다단계 이온 주입
US9416442B2 (en) 2013-03-02 2016-08-16 Apple Inc. Sapphire property modification through ion implantation
WO2015035331A1 (en) * 2013-09-06 2015-03-12 Veeco Instruments, Inc. Tensile separation of a semiconducting stack
FR3020175B1 (fr) * 2014-04-16 2016-05-13 Soitec Silicon On Insulator Procede de transfert d'une couche utile
JP6293371B2 (ja) 2014-10-01 2018-03-14 バーゼル・ポリオレフィン・イタリア・ソチエタ・ア・レスポンサビリタ・リミタータ パイプ用プロピレン系共重合体組成物
FR3032555B1 (fr) 2015-02-10 2018-01-19 Soitec Procede de report d'une couche utile
US10280504B2 (en) 2015-09-25 2019-05-07 Apple Inc. Ion-implanted, anti-reflective layer formed within sapphire material
KR20180114927A (ko) * 2016-02-16 2018-10-19 쥐-레이 스위츨란드 에스에이 접합된 경계면들에 걸친 전하 운반을 위한 구조물, 시스템 및 방법
WO2017156163A1 (en) 2016-03-08 2017-09-14 Arizona Board Of Regents On Behalf Of Arizona State University Sound-assisted crack propagation for semiconductor wafering
JP6563360B2 (ja) * 2016-04-05 2019-08-21 信越化学工業株式会社 酸化物単結晶薄膜を備えた複合ウェーハの製造方法
WO2017209251A1 (ja) * 2016-06-01 2017-12-07 シャープ株式会社 基板の製造方法、太陽電池の製造方法、基板および太陽電池
FR3055063B1 (fr) 2016-08-11 2018-08-31 Soitec Procede de transfert d'une couche utile
KR101866348B1 (ko) * 2016-12-28 2018-06-12 한국에너지기술연구원 수소 헬륨 공동 주입을 통한 박형 실리콘 기판 제조 방법
FR3078822B1 (fr) * 2018-03-12 2020-02-28 Soitec Procede de preparation d’une couche mince de materiau ferroelectrique a base d’alcalin
FR3093859B1 (fr) 2019-03-15 2021-02-12 Soitec Silicon On Insulator Procédé de transfert d’une couche utile sur une substrat support
FR3093860B1 (fr) 2019-03-15 2021-03-05 Soitec Silicon On Insulator Procédé de transfert d’une couche utile sur un substrat support
FR3093716B1 (fr) 2019-03-15 2021-02-12 Soitec Silicon On Insulator systeme de fracture d'une pluralitÉ d'assemblages de tranches.
FR3093858B1 (fr) 2019-03-15 2021-03-05 Soitec Silicon On Insulator Procédé de transfert d’une couche utile sur un substrat support

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1206221A (zh) * 1997-03-26 1999-01-27 佳能株式会社 半导体基片及其制备方法
CN1241803A (zh) * 1998-05-15 2000-01-19 佳能株式会社 半导体衬底、半导体薄膜以及多层结构的制造工艺
US6020252A (en) * 1996-05-15 2000-02-01 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US6171965B1 (en) * 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
CN1290028A (zh) * 1999-09-27 2001-04-04 中国科学院半导体研究所 一种制备半导体衬底的方法
CN1291349A (zh) * 1998-02-17 2001-04-11 纽约市哥伦比亚大学托管会 单晶膜的晶体离子切割
CN1319252A (zh) * 1998-09-25 2001-10-24 旭化成株式会社 半导体衬底及其制造方法、和使用它的半导体器件及其制造方法

Family Cites Families (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
FR2245779B1 (zh) 1973-09-28 1978-02-10 Cit Alcatel
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
GB1542299A (en) 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4028149A (en) 1976-06-30 1977-06-07 Ibm Corporation Process for forming monocrystalline silicon carbide on silicon substrates
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
DE2849184A1 (de) 1978-11-13 1980-05-22 Bbc Brown Boveri & Cie Verfahren zur herstellung eines scheibenfoermigen silizium-halbleiterbauelementes mit negativer anschraegung
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4324631A (en) * 1979-07-23 1982-04-13 Spin Physics, Inc. Magnetron sputtering of magnetic materials
CH640886A5 (de) 1979-08-02 1984-01-31 Balzers Hochvakuum Verfahren zum aufbringen harter verschleissfester ueberzuege auf unterlagen.
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2506344B2 (fr) 1980-02-01 1986-07-11 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
FR2475068B1 (fr) 1980-02-01 1986-05-16 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (fr) 1981-03-13 1982-09-17 Vide Traitement Procede de traitements thermochimiques de metaux par bombardement ionique
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
FR2529383A1 (fr) 1982-06-24 1983-12-30 Commissariat Energie Atomique Porte-cible a balayage mecanique utilisable notamment pour l'implantation d'ioris
FR2537768A1 (fr) 1982-12-08 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'obtention de faisceaux de particules de densite spatialement modulee, application a la gravure et a l'implantation ioniques
FR2537777A1 (fr) 1982-12-10 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'implantation de particules dans un solide
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3246480A1 (de) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur herstellung von halbleiterscheiben mit getternder scheibenrueckseite
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
JPS6088535U (ja) 1983-11-24 1985-06-18 住友電気工業株式会社 半導体ウエハ
FR2558263B1 (fr) 1984-01-12 1986-04-25 Commissariat Energie Atomique Accelerometre directif et son procede de fabrication par microlithographie
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (fr) 1984-04-19 1987-01-23 Commissariat Energie Atomique Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique
US4542863A (en) 1984-07-23 1985-09-24 Larson Edwin L Pipe-thread sealing tape reel with tape retarding element
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
JPS63254762A (ja) 1987-04-13 1988-10-21 Nissan Motor Co Ltd Cmos半導体装置
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
FR2616590B1 (fr) 1987-06-15 1990-03-02 Commissariat Energie Atomique Procede de fabrication d'une couche d'isolant enterree dans un substrat semi-conducteur par implantation ionique et structure semi-conductrice comportant cette couche
US4956698A (en) 1987-07-29 1990-09-11 The United States Of America As Represented By The Department Of Commerce Group III-V compound semiconductor device having p-region formed by Be and Group V ions
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
US5138422A (en) 1987-10-27 1992-08-11 Nippondenso Co., Ltd. Semiconductor device which includes multiple isolated semiconductor segments on one chip
GB8725497D0 (en) 1987-10-30 1987-12-02 Atomic Energy Authority Uk Isolation of silicon
US5200805A (en) 1987-12-28 1993-04-06 Hughes Aircraft Company Silicon carbide:metal carbide alloy semiconductor and method of making the same
US4904610A (en) 1988-01-27 1990-02-27 General Instrument Corporation Wafer level process for fabricating passivated semiconductor devices
DE3803424C2 (de) 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Verfahren zur quantitativen, tiefendifferentiellen Analyse fester Proben
JP2666945B2 (ja) 1988-02-08 1997-10-22 株式会社東芝 半導体装置の製造方法
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
NL8802028A (nl) 1988-08-16 1990-03-16 Philips Nv Werkwijze voor het vervaardigen van een inrichting.
JP2670623B2 (ja) 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
NL8900388A (nl) 1989-02-17 1990-09-17 Philips Nv Werkwijze voor het verbinden van twee voorwerpen.
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
US4929566A (en) 1989-07-06 1990-05-29 Harris Corporation Method of making dielectrically isolated integrated circuits using oxygen implantation and expitaxial growth
JPH0355822A (ja) 1989-07-25 1991-03-11 Shin Etsu Handotai Co Ltd 半導体素子形成用基板の製造方法
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5036023A (en) 1989-08-16 1991-07-30 At&T Bell Laboratories Rapid thermal processing method of making a semiconductor device
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
JPH0650738B2 (ja) 1990-01-11 1994-06-29 株式会社東芝 半導体装置及びその製造方法
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
CN1018844B (zh) 1990-06-02 1992-10-28 中国科学院兰州化学物理研究所 防锈干膜润滑剂
JPH0719739B2 (ja) 1990-09-10 1995-03-06 信越半導体株式会社 接合ウェーハの製造方法
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5618739A (en) 1990-11-15 1997-04-08 Seiko Instruments Inc. Method of making light valve device using semiconductive composite substrate
US5300788A (en) 1991-01-18 1994-04-05 Kopin Corporation Light emitting diode bars and arrays and method of making same
GB2251546B (en) 1991-01-11 1994-05-11 Philips Electronic Associated An electrical kettle
DE4106288C2 (de) 1991-02-28 2001-05-31 Bosch Gmbh Robert Sensor zur Messung von Drücken oder Beschleunigungen
JP2812405B2 (ja) 1991-03-15 1998-10-22 信越半導体株式会社 半導体基板の製造方法
US5110748A (en) 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5256581A (en) 1991-08-28 1993-10-26 Motorola, Inc. Silicon film with improved thickness control
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
JP3416163B2 (ja) 1992-01-31 2003-06-16 キヤノン株式会社 半導体基板及びその作製方法
JPH05235312A (ja) 1992-02-19 1993-09-10 Fujitsu Ltd 半導体基板及びその製造方法
US5614019A (en) 1992-06-08 1997-03-25 Air Products And Chemicals, Inc. Method for the growth of industrial crystals
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
WO1994017558A1 (en) 1993-01-29 1994-08-04 The Regents Of The University Of California Monolithic passive component
US5400458A (en) 1993-03-31 1995-03-28 Minnesota Mining And Manufacturing Company Brush segment for industrial brushes
FR2714524B1 (fr) 1993-12-23 1996-01-26 Commissariat Energie Atomique Procede de realisation d'une structure en relief sur un support en materiau semiconducteur
EP0661428B1 (en) 1993-12-28 2000-03-22 Honda Giken Kogyo Kabushiki Kaisha Gas fuel supply mechanism for gas combustion engine
DE4400985C1 (de) 1994-01-14 1995-05-11 Siemens Ag Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
FR2715501B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Procédé de dépôt de lames semiconductrices sur un support.
FR2715503B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrat pour composants intégrés comportant une couche mince et son procédé de réalisation.
FR2715502B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure présentant des cavités et procédé de réalisation d'une telle structure.
JP3293736B2 (ja) 1996-02-28 2002-06-17 キヤノン株式会社 半導体基板の作製方法および貼り合わせ基体
US5880010A (en) 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
JPH0851103A (ja) 1994-08-08 1996-02-20 Fuji Electric Co Ltd 薄膜の生成方法
US5524339A (en) 1994-09-19 1996-06-11 Martin Marietta Corporation Method for protecting gallium arsenide mmic air bridge structures
FR2725074B1 (fr) 1994-09-22 1996-12-20 Commissariat Energie Atomique Procede de fabrication d'une structure comportant une couche mince semi-conductrice sur un substrat
US5567654A (en) 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
EP0749500B1 (en) 1994-10-18 1998-05-27 Koninklijke Philips Electronics N.V. Method of manufacturing a thin silicon-oxide layer
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
KR960026128A (zh) 1994-12-12 1996-07-22
JP3381443B2 (ja) 1995-02-02 2003-02-24 ソニー株式会社 基体から半導体層を分離する方法、半導体素子の製造方法およびsoi基板の製造方法
FR2736934B1 (fr) 1995-07-21 1997-08-22 Commissariat Energie Atomique Procede de fabrication d'une structure avec une couche utile maintenue a distance d'un substrat par des butees, et de desolidarisation d'une telle couche
FR2738671B1 (fr) 1995-09-13 1997-10-10 Commissariat Energie Atomique Procede de fabrication de films minces a materiau semiconducteur
CN1132223C (zh) 1995-10-06 2003-12-24 佳能株式会社 半导体衬底及其制造方法
FR2744285B1 (fr) 1996-01-25 1998-03-06 Commissariat Energie Atomique Procede de transfert d'une couche mince d'un substrat initial sur un substrat final
FR2747506B1 (fr) 1996-04-11 1998-05-15 Commissariat Energie Atomique Procede d'obtention d'un film mince de materiau semiconducteur comprenant notamment des composants electroniques
FR2748850B1 (fr) 1996-05-15 1998-07-24 Commissariat Energie Atomique Procede de realisation d'un film mince de materiau solide et applications de ce procede
US5863832A (en) 1996-06-28 1999-01-26 Intel Corporation Capping layer in interconnect system and method for bonding the capping layer onto the interconnect system
US5897331A (en) 1996-11-08 1999-04-27 Midwest Research Institute High efficiency low cost thin film silicon solar cell design and method for making
US6127199A (en) 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
DE19648501A1 (de) 1996-11-22 1998-05-28 Max Planck Gesellschaft Verfahren für die lösbare Verbindung und anschließende Trennung reversibel gebondeter und polierter Scheiben sowie eine Waferstruktur und Wafer
KR100232886B1 (ko) 1996-11-23 1999-12-01 김영환 Soi 웨이퍼 제조방법
DE19648759A1 (de) 1996-11-25 1998-05-28 Max Planck Gesellschaft Verfahren zur Herstellung von Mikrostrukturen sowie Mikrostruktur
FR2756847B1 (fr) 1996-12-09 1999-01-08 Commissariat Energie Atomique Procede de separation d'au moins deux elements d'une structure en contact entre eux par implantation ionique
SG67458A1 (en) 1996-12-18 1999-09-21 Canon Kk Process for producing semiconductor article
FR2758907B1 (fr) 1997-01-27 1999-05-07 Commissariat Energie Atomique Procede d'obtention d'un film mince, notamment semiconducteur, comportant une zone protegee des ions, et impliquant une etape d'implantation ionique
JP3114643B2 (ja) 1997-02-20 2000-12-04 日本電気株式会社 半導体基板の構造および製造方法
JPH10275752A (ja) 1997-03-28 1998-10-13 Ube Ind Ltd 張合わせウエハ−及びその製造方法、基板
US6013954A (en) 1997-03-31 2000-01-11 Nec Corporation Semiconductor wafer having distortion-free alignment regions
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6155909A (en) * 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6150239A (en) 1997-05-31 2000-11-21 Max Planck Society Method for the transfer of thin layers monocrystalline material onto a desirable substrate
US6054369A (en) 1997-06-30 2000-04-25 Intersil Corporation Lifetime control for semiconductor devices
WO1999001893A2 (de) 1997-06-30 1999-01-14 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Verfahren zur herstellung von schichtartigen gebilden auf einem substrat, substrat sowie mittels des verfahrens hergestellte halbleiterbauelemente
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6316820B1 (en) 1997-07-25 2001-11-13 Hughes Electronics Corporation Passivation layer and process for semiconductor devices
EP0895282A3 (en) 1997-07-30 2000-01-26 Canon Kabushiki Kaisha Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same
FR2767416B1 (fr) 1997-08-12 1999-10-01 Commissariat Energie Atomique Procede de fabrication d'un film mince de materiau solide
FR2767604B1 (fr) 1997-08-19 2000-12-01 Commissariat Energie Atomique Procede de traitement pour le collage moleculaire et le decollage de deux structures
JP3697034B2 (ja) 1997-08-26 2005-09-21 キヤノン株式会社 微小開口を有する突起の製造方法、及びそれらによるプローブまたはマルチプローブ
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5981400A (en) 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
JP2998724B2 (ja) 1997-11-10 2000-01-11 日本電気株式会社 張り合わせsoi基板の製造方法
FR2771852B1 (fr) 1997-12-02 1999-12-31 Commissariat Energie Atomique Procede de transfert selectif d'une microstructure, formee sur un substrat initial, vers un substrat final
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
JP3501642B2 (ja) 1997-12-26 2004-03-02 キヤノン株式会社 基板処理方法
SG70141A1 (en) 1997-12-26 2000-01-25 Canon Kk Sample separating apparatus and method and substrate manufacturing method
US6071795A (en) 1998-01-23 2000-06-06 The Regents Of The University Of California Separation of thin films from transparent substrates by selective optical processing
FR2774510B1 (fr) 1998-02-02 2001-10-26 Soitec Silicon On Insulator Procede de traitement de substrats, notamment semi-conducteurs
JPH11233449A (ja) * 1998-02-13 1999-08-27 Denso Corp 半導体基板の製造方法
MY118019A (en) 1998-02-18 2004-08-30 Canon Kk Composite member, its separation method, and preparation method of semiconductor substrate by utilization thereof
JP3809733B2 (ja) 1998-02-25 2006-08-16 セイコーエプソン株式会社 薄膜トランジスタの剥離方法
JPH11307747A (ja) 1998-04-17 1999-11-05 Nec Corp Soi基板およびその製造方法
US6057212A (en) 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
JP3456521B2 (ja) * 1998-05-12 2003-10-14 三菱住友シリコン株式会社 Soi基板の製造方法
US5909627A (en) 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
DE19840421C2 (de) 1998-06-22 2000-05-31 Fraunhofer Ges Forschung Verfahren zur Fertigung von dünnen Substratschichten und eine dafür geeignete Substratanordnung
US6054370A (en) 1998-06-30 2000-04-25 Intel Corporation Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
US6118181A (en) 1998-07-29 2000-09-12 Agilent Technologies, Inc. System and method for bonding wafers
US6271101B1 (en) 1998-07-29 2001-08-07 Semiconductor Energy Laboratory Co., Ltd. Process for production of SOI substrate and process for production of semiconductor device
FR2781925B1 (fr) 1998-07-30 2001-11-23 Commissariat Energie Atomique Transfert selectif d'elements d'un support vers un autre support
EP0989593A3 (en) 1998-09-25 2002-01-02 Canon Kabushiki Kaisha Substrate separating apparatus and method, and substrate manufacturing method
FR2784795B1 (fr) 1998-10-16 2000-12-01 Commissariat Energie Atomique Structure comportant une couche mince de materiau composee de zones conductrices et de zones isolantes et procede de fabrication d'une telle structure
FR2784800B1 (fr) 1998-10-20 2000-12-01 Commissariat Energie Atomique Procede de realisation de composants passifs et actifs sur un meme substrat isolant
CA2293040C (en) 1998-12-23 2006-10-24 Kohler Co. Dual fuel system for internal combustion engine
US6346458B1 (en) 1998-12-31 2002-02-12 Robert W. Bower Transposed split of ion cut materials
FR2789518B1 (fr) 1999-02-10 2003-06-20 Commissariat Energie Atomique Structure multicouche a contraintes internes controlees et procede de realisation d'une telle structure
GB2347230B (en) 1999-02-23 2003-04-16 Marconi Electronic Syst Ltd Optical slow-wave modulator
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
WO2000063965A1 (en) * 1999-04-21 2000-10-26 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
JP2001015721A (ja) 1999-04-30 2001-01-19 Canon Inc 複合部材の分離方法及び薄膜の製造方法
US6310387B1 (en) 1999-05-03 2001-10-30 Silicon Wave, Inc. Integrated circuit inductor with high self-resonance frequency
US6664169B1 (en) 1999-06-08 2003-12-16 Canon Kabushiki Kaisha Process for producing semiconductor member, process for producing solar cell, and anodizing apparatus
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
FR2796491B1 (fr) 1999-07-12 2001-08-31 Commissariat Energie Atomique Procede de decollement de deux elements et dispositif pour sa mise en oeuvre
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6287940B1 (en) 1999-08-02 2001-09-11 Honeywell International Inc. Dual wafer attachment process
FR2797347B1 (fr) 1999-08-04 2001-11-23 Commissariat Energie Atomique Procede de transfert d'une couche mince comportant une etape de surfragililisation
JP2003506883A (ja) 1999-08-10 2003-02-18 シリコン ジェネシス コーポレイション 低打ち込みドーズ量を用いて多層基板を製造するための劈開プロセス
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
EP1077475A3 (en) 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
KR100413789B1 (ko) 1999-11-01 2003-12-31 삼성전자주식회사 고진공 패키징 마이크로자이로스코프 및 그 제조방법
DE19958803C1 (de) 1999-12-07 2001-08-30 Fraunhofer Ges Forschung Verfahren und Vorrichtung zum Handhaben von Halbleitersubstraten bei der Prozessierung und/oder Bearbeitung
JP2001196566A (ja) 2000-01-07 2001-07-19 Sony Corp 半導体基板およびその製造方法
US6306720B1 (en) 2000-01-10 2001-10-23 United Microelectronics Corp. Method for forming capacitor of mixed-mode device
JP3975634B2 (ja) 2000-01-25 2007-09-12 信越半導体株式会社 半導体ウェハの製作法
US6521477B1 (en) 2000-02-02 2003-02-18 Raytheon Company Vacuum package fabrication of integrated circuit components
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6586841B1 (en) 2000-02-23 2003-07-01 Onix Microsystems, Inc. Mechanical landing pad formed on the underside of a MEMS device
US6548375B1 (en) 2000-03-16 2003-04-15 Hughes Electronics Corporation Method of preparing silicon-on-insulator substrates particularly suited for microwave applications
WO2001080308A2 (fr) 2000-04-14 2001-10-25 S.O.I.Tec Silicon On Insulator Technologies Procede pour la decoupe d'au moins une couche mince dans un substrat ou lingot, notamment en materiau(x) semi-conducteur(s)
FR2809867B1 (fr) * 2000-05-30 2003-10-24 Commissariat Energie Atomique Substrat fragilise et procede de fabrication d'un tel substrat
KR20010112507A (ko) * 2000-06-05 2001-12-20 이구택 압연 롤 세정 장치
JP2002016150A (ja) * 2000-06-29 2002-01-18 Nec Corp 半導体記憶装置及びその製造方法
US6407929B1 (en) 2000-06-29 2002-06-18 Intel Corporation Electronic package having embedded capacitors and method of fabrication therefor
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
FR2811807B1 (fr) 2000-07-12 2003-07-04 Commissariat Energie Atomique Procede de decoupage d'un bloc de materiau et de formation d'un film mince
KR100984585B1 (ko) 2000-08-22 2010-09-30 프레지던트 앤드 펠로우즈 오브 하버드 칼리지 반도체 성장 방법 및 디바이스 제조 방법
US6600173B2 (en) * 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
FR2816445B1 (fr) 2000-11-06 2003-07-25 Commissariat Energie Atomique Procede de fabrication d'une structure empilee comprenant une couche mince adherant a un substrat cible
FR2818010B1 (fr) 2000-12-08 2003-09-05 Commissariat Energie Atomique Procede de realisation d'une couche mince impliquant l'introduction d'especes gazeuses
US7139947B2 (en) 2000-12-22 2006-11-21 Intel Corporation Test access port
FR2819099B1 (fr) 2000-12-28 2003-09-26 Commissariat Energie Atomique Procede de realisation d'une structure empilee
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
DE10104868A1 (de) 2001-02-03 2002-08-22 Bosch Gmbh Robert Mikromechanisches Bauelement sowie ein Verfahren zur Herstellung eines mikromechanischen Bauelements
JP2002270553A (ja) 2001-03-13 2002-09-20 Mitsubishi Gas Chem Co Inc 電子部品の製造法
JP2002305293A (ja) 2001-04-06 2002-10-18 Canon Inc 半導体部材の製造方法及び半導体装置の製造方法
US6734762B2 (en) 2001-04-09 2004-05-11 Motorola, Inc. MEMS resonators and method for manufacturing MEMS resonators
FR2823373B1 (fr) 2001-04-10 2005-02-04 Soitec Silicon On Insulator Dispositif de coupe de couche d'un substrat, et procede associe
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
FR2828428B1 (fr) 2001-08-07 2003-10-17 Soitec Silicon On Insulator Dispositif de decollement de substrats et procede associe
US6744114B2 (en) 2001-08-29 2004-06-01 Honeywell International Inc. Package with integrated inductor and/or capacitor
JP2003078117A (ja) * 2001-08-31 2003-03-14 Canon Inc 半導体部材及び半導体装置並びにそれらの製造方法
FR2830983B1 (fr) 2001-10-11 2004-05-14 Commissariat Energie Atomique Procede de fabrication de couches minces contenant des microcomposants
US6593212B1 (en) * 2001-10-29 2003-07-15 The United States Of America As Represented By The Secretary Of The Navy Method for making electro-optical devices using a hydrogenion splitting technique
DE10153319B4 (de) 2001-10-29 2011-02-17 austriamicrosystems AG, Schloss Premstätten Mikrosensor
TWI251813B (en) 2001-11-09 2006-03-21 Via Tech Inc Method for protecting phase lock loop in optical data-reading system
FR2833106B1 (fr) 2001-12-03 2005-02-25 St Microelectronics Sa Circuit integre comportant un composant auxiliaire, par exemple un composant passif ou un microsysteme electromecanique, dispose au-dessus d'une puce electronique, et procede de fabrication correspondant
KR100442105B1 (ko) 2001-12-03 2004-07-27 삼성전자주식회사 소이형 기판 형성 방법
FR2834820B1 (fr) 2002-01-16 2005-03-18 Procede de clivage de couches d'une tranche de materiau
FR2835097B1 (fr) 2002-01-23 2005-10-14 Procede optimise de report d'une couche mince de carbure de silicium sur un substrat d'accueil
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6596569B1 (en) 2002-03-15 2003-07-22 Lucent Technologies Inc. Thin film transistors
US6607969B1 (en) 2002-03-18 2003-08-19 The United States Of America As Represented By The Secretary Of The Navy Method for making pyroelectric, electro-optical and decoupling capacitors using thin film transfer and hydrogen ion splitting techniques
US6767749B2 (en) 2002-04-22 2004-07-27 The United States Of America As Represented By The Secretary Of The Navy Method for making piezoelectric resonator and surface acoustic wave device using hydrogen implant layer splitting
US6632082B1 (en) 2002-05-01 2003-10-14 Colibri Corporation Lighter and method of use
US6645831B1 (en) 2002-05-07 2003-11-11 Intel Corporation Thermally stable crystalline defect-free germanium bonded to silicon and silicon dioxide
US7157119B2 (en) 2002-06-25 2007-01-02 Ppg Industries Ohio, Inc. Method and compositions for applying multiple overlying organic pigmented decorations on ceramic substrates
FR2842349B1 (fr) 2002-07-09 2005-02-18 Transfert d'une couche mince a partir d'une plaquette comprenant une couche tampon
US6953736B2 (en) 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US7176108B2 (en) * 2002-11-07 2007-02-13 Soitec Silicon On Insulator Method of detaching a thin film at moderate temperature after co-implantation
FR2847075B1 (fr) 2002-11-07 2005-02-18 Commissariat Energie Atomique Procede de formation d'une zone fragile dans un substrat par co-implantation
FR2848336B1 (fr) 2002-12-09 2005-10-28 Commissariat Energie Atomique Procede de realisation d'une structure contrainte destinee a etre dissociee
FR2850487B1 (fr) 2002-12-24 2005-12-09 Commissariat Energie Atomique Procede de realisation de substrats mixtes et structure ainsi obtenue
EP1588416B1 (en) 2003-01-07 2009-03-25 S.O.I.Tec Silicon on Insulator Technologies Recycling of a wafer comprising a multi-layer structure after taking-off a thin layer
US7348260B2 (en) 2003-02-28 2008-03-25 S.O.I.Tec Silicon On Insulator Technologies Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
US7018909B2 (en) 2003-02-28 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Forming structures that include a relaxed or pseudo-relaxed layer on a substrate
FR2856844B1 (fr) 2003-06-24 2006-02-17 Commissariat Energie Atomique Circuit integre sur puce de hautes performances
FR2857953B1 (fr) 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
US7052978B2 (en) * 2003-08-28 2006-05-30 Intel Corporation Arrangements incorporating laser-induced cleaving
US7029980B2 (en) 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
KR20060123334A (ko) 2003-12-16 2006-12-01 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 기판 상에 변형 실리콘 층을 형성하는 방법, mosfet구조물 및 반도체 디바이스
US7772087B2 (en) 2003-12-19 2010-08-10 Commissariat A L'energie Atomique Method of catastrophic transfer of a thin film after co-implantation
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US6893936B1 (en) 2004-06-29 2005-05-17 International Business Machines Corporation Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
DE602004011353T2 (de) 2004-10-19 2008-05-15 S.O.I. Tec Silicon On Insulator Technologies S.A. Verfahren zur Herstellung einer verspannten Silizium-Schicht auf einem Substrat und Zwischenprodukt
FR2889887B1 (fr) 2005-08-16 2007-11-09 Commissariat Energie Atomique Procede de report d'une couche mince sur un support
FR2891281B1 (fr) 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
FR2899378B1 (fr) 2006-03-29 2008-06-27 Commissariat Energie Atomique Procede de detachement d'un film mince par fusion de precipites
EP1928020B1 (en) 2006-11-30 2020-04-22 Soitec Method of manufacturing a semiconductor heterostructure
FR2910179B1 (fr) 2006-12-19 2009-03-13 Commissariat Energie Atomique PROCEDE DE FABRICATION DE COUCHES MINCES DE GaN PAR IMPLANTATION ET RECYCLAGE D'UN SUBSTRAT DE DEPART
FR2922359B1 (fr) 2007-10-12 2009-12-18 Commissariat Energie Atomique Procede de fabrication d'une structure micro-electronique impliquant un collage moleculaire
FR2925221B1 (fr) 2007-12-17 2010-02-19 Commissariat Energie Atomique Procede de transfert d'une couche mince
FR2947098A1 (fr) 2009-06-18 2010-12-24 Commissariat Energie Atomique Procede de transfert d'une couche mince sur un substrat cible ayant un coefficient de dilatation thermique different de celui de la couche mince

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6020252A (en) * 1996-05-15 2000-02-01 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
CN1206221A (zh) * 1997-03-26 1999-01-27 佳能株式会社 半导体基片及其制备方法
CN1291349A (zh) * 1998-02-17 2001-04-11 纽约市哥伦比亚大学托管会 单晶膜的晶体离子切割
CN1241803A (zh) * 1998-05-15 2000-01-19 佳能株式会社 半导体衬底、半导体薄膜以及多层结构的制造工艺
CN1319252A (zh) * 1998-09-25 2001-10-24 旭化成株式会社 半导体衬底及其制造方法、和使用它的半导体器件及其制造方法
US6171965B1 (en) * 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
CN1290028A (zh) * 1999-09-27 2001-04-04 中国科学院半导体研究所 一种制备半导体衬底的方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Efficient production of silicon-on-insulator filmsby co-implantation of He+ with H+. Aditya Agarwal, T.E.Haynes, V.C.Venezia, O.W.Hollandand D.J.Eaglesham.American Institute of Physics,Vol.72 No.9. 1998
Efficient production of silicon-on-insulator filmsby co-implantation of He+with H+. Aditya Agarwal, T.E.Haynes, V.C.Venezia, O.W.Hollandand D.J.Eaglesham.American Institute of Physics,Vol.72 No.9. 1998 *

Also Published As

Publication number Publication date
KR101120621B1 (ko) 2012-03-16
FR2861497B1 (fr) 2006-02-10
US8309431B2 (en) 2012-11-13
KR20060122830A (ko) 2006-11-30
EP1678754B1 (fr) 2015-07-15
FR2861497A1 (fr) 2005-04-29
JP5142528B2 (ja) 2013-02-13
JP2007511069A (ja) 2007-04-26
JP5244315B2 (ja) 2013-07-24
US20070281445A1 (en) 2007-12-06
EP1678755B1 (fr) 2012-10-17
TWI349303B (en) 2011-09-21
CN100474557C (zh) 2009-04-01
JP2007510298A (ja) 2007-04-19
TW200524010A (en) 2005-07-16
WO2005043615A1 (fr) 2005-05-12
EP1678755A1 (fr) 2006-07-12
WO2005043616A1 (fr) 2005-05-12
CN1864256A (zh) 2006-11-15
EP1678754A1 (fr) 2006-07-12
KR20070051765A (ko) 2007-05-18
CN1868053A (zh) 2006-11-22

Similar Documents

Publication Publication Date Title
CN100474556C (zh) 联合注入后的薄层灾变转移的方法
KR100742790B1 (ko) 특히 반도체 재료(들)로 제조된 기판 또는 잉곳에서 적어도 하나의 박층을 절단하는 방법 및 장치
US6162705A (en) Controlled cleavage process and resulting device using beta annealing
US6225192B1 (en) Method of producing a thin layer of semiconductor material
US7772087B2 (en) Method of catastrophic transfer of a thin film after co-implantation
KR101174594B1 (ko) 공-이온주입에 의한 기판의 취약한 영역의 형성 방법
US8293619B2 (en) Layer transfer of films utilizing controlled propagation
JP2004503111A (ja) 材料ブロックを切り取るための方法ならびに薄膜の形成方法
EP0995227A1 (en) A controlled cleavage process
JP4777774B2 (ja) 剥離可能な半導体基板を形成するための方法ならびに半導体素子を得るための方法
JP2003347526A (ja) 材料の二層を剥離する方法
KR101122859B1 (ko) 공동?주입후 온화한 온도에서 박막의 박리 방법
KR101698912B1 (ko) 삼중 주입을 사용하는, 클리빙에 의해 실리콘 박막을 분리하는 방법
KR20210134784A (ko) 유용 층을 캐리어 기판에 전달하는 공정

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: SUTAIKE INC.

Free format text: FORMER NAME: S.O.I. TEKER ISOLATOR SILICON TECHNOLOGY

CP01 Change in the name or title of a patent holder

Address after: French horn

Patentee after: SOITEC

Patentee after: Atomic Energy Commission

Address before: French horn

Patentee before: S.O.I. Teker Isolator Silicon Technology

Patentee before: Atomic Energy Commission