CN100472326C - 产生模拟曝光工具成像性能模型的方法和装置产品 - Google Patents

产生模拟曝光工具成像性能模型的方法和装置产品 Download PDF

Info

Publication number
CN100472326C
CN100472326C CNB2005100541766A CN200510054176A CN100472326C CN 100472326 C CN100472326 C CN 100472326C CN B2005100541766 A CNB2005100541766 A CN B2005100541766A CN 200510054176 A CN200510054176 A CN 200510054176A CN 100472326 C CN100472326 C CN 100472326C
Authority
CN
China
Prior art keywords
model
exposure tool
imaging result
group
calibration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100541766A
Other languages
English (en)
Other versions
CN1683998A (zh
Inventor
X·施
J·F·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML FRISKET TOOLS BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML FRISKET TOOLS BV filed Critical ASML FRISKET TOOLS BV
Publication of CN1683998A publication Critical patent/CN1683998A/zh
Application granted granted Critical
Publication of CN100472326C publication Critical patent/CN100472326C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure

Abstract

一种用于产生模型的方法,所述模型用于模拟多个曝光工具的成像性能,所述方法包括下述步骤:产生第一个曝光工具的校准的模型,对于给定光刻过程,所述校准的模型能评估将要由所述第一个曝光工具产生的图像,其中所述校准的模型包括第一组基本函数;产生第二个曝光工具的模型,对于所述光刻过程,所述模型能评估将要由所述第二个曝光工具产生的图像,其中所述模型包括第二组基本函数;以及将所述第二组基本函数表示为所述第一组基本函数的线性组合,以产生与所述第二个曝光工具对应的等价模型函数,其中对于所述光刻过程,所述等价模型函数产生与由所述第二个曝光工具产生的图像对应的模拟图像。

Description

产生模拟曝光工具成像性能模型的方法和装置产品
本申请要求2004年1月30日提交的,名称为“使用校准的本征分解模型来预测并将由于曝光工具的组合/配合而造成的模型OPC偏差最小化的方法”的临时申请60/539,997的优先权,该申请在这里全部结合作为参考。
发明的技术领域
本发明一般涉及利用模型来模拟通过指定过程成像的目标掩膜图案产生的空间像(aerial image)的方法,装置和程序,尤其涉及在不必重新校准模型的情况下,使用由第一曝光工具进行校准的模型来预测第二曝光工具的成像结果的方法,装置和程序。
发明背景
光刻装置可以用于例如集成电路(IC)的制造。在这种情况下,掩膜可包含对应于IC的每一层的电路图案,该图案可以成像在已涂敷辐射敏感材料(光刻胶)层的基板(硅片)目标部分(例如包括一个或者多个电路小片(die))。一般地,单一的晶片将包含相邻目标部分的整个网格,该相邻目标部分由投射系统逐个相继照射。在一类光刻投射装置中,通过一次曝光目标部分上的全部掩膜图案而照射每一目标部分;这种装置通称为晶片步进机(wafer stepper)。在另一种装置(通称作为扫描装置)中,通过在投射射束下沿给定的参考方向(“扫描”方向)逐渐扫描掩膜图案、并同时沿与该方向平行或者反平行的方向同步扫描基底台,来照射每一个目标部分。因为一般来说,透射系统有一个放大系数M(通常<1),因此,对基底台的扫描速度V是对掩膜台的扫描速度的M倍。关于这里描述的光刻设备的更多信息可以从例如美国专利US6,046,792中获得,该文献这里作为参考引入。
在用光刻投射装置的制造过程中,掩膜图案成像在至少部分由一层辐射敏感材料(光刻胶)覆盖的基底上。在该成像步骤之前,可以对基底进行各种处理,如涂底漆,涂敷光刻胶和软烘烤。在曝光后,可以对基底进行其它处理,如曝光后烘烤(PEB)、显影、硬烘烤和测量/检测成像特征。以这一系列处理为基础,使器件(例如IC)的单层形成图案。这种图案化的层然后可进行各种处理,如蚀刻、离子注入(掺杂)、镀金属、氧化、化学—机械抛光等完成单层所需的所有处理。如果需要若干层,那么对每一新层重复全部步骤或者其变型。最终,在基底(晶片)上出现器件阵列。然后采用例如切割或者锯断技术将这些器件彼此分开,由此可将单个器件安装在载体上,与管脚等连接。
为了简单起见,投射系统在下文称为“镜头”;可是,该术语应广义地解释为包含各种类型的投射系统,包括例如折射光学装置、反射光学装置和折反射系统。辐射系统还可以包括根据这些设计类型中任一设计进行操作的部件,该部件用于导向、整形或者控制投射射束,这种部件在下文还可共同地或者单独地称作“镜头”。另外,光刻装置可以具有两个或者更多个基底台(和/或两个或者更多个掩模台)。在这种“多级式”器件中,可以并行使用这些附加台,或者当一个和多个台正用于曝光时,在一个和多个其它台上进行准备步骤。例如在美国专利US5,969,441中描述的二级光刻装置,这里作为参考引入。
上面所指的光刻掩模包括与要集成在硅片上的电路部件相对应的几何图案。利用CAD(计算机辅助设计)程序来生成用于产生这种掩模的图案,该过程经常称为EDA(电子设计自动化)。为了产生起作用的掩模,大部分CAD程序遵循一组预定的设计规则。这些规则由处理和设计限制来设定。例如,设计规则定义电路器件(例如栅极,电容等)之间或互相连接的线路之间的间距公差,以保证所述电路器件或线路不会以不希望的方式彼此相互影响。所述设计规则限制典型地称作“临界尺寸”(CD)(critical dimensions)。电路的临界尺寸可以被定义为线路或孔的最小宽度或者两条线路或两个孔之间的最小间距。因此,所述CD决定了所设计电路的整体尺寸和密度。
当然,集成电路制作中的一个目的是,(通过掩模)在晶片上如实地再现原始的电路设计。正如所公知的,可以将光学近似校正(OPC)特征结合进掩模设计中,以改善最终图象,以使其更精确地呈现出目标图案。此外,还公知的是,利用所需过程的模型来模拟给定目标图案的空间像。这种模型允许操作者在不必真将晶片成像的情况下检查调整掩模特征和OPC特征在最终图象上的效果,因此,在该设计过程中明显节省了成本和时间。在2004年11月5日提交的美国申请10/981,750中描述了一种这样的模拟方法,其在这里全部被结合作为参考。
光刻技术的另一个目的是,在不必消耗相当多的时间和资源确定每个光刻系统的必要设定,以获得最佳/可接受的成像性能的情况下,对于不同的光刻系统(例如扫描器)能利用相同的“过程”将特定的图案成像。正如公知的,当最初设定给定过程与特定扫描器工作,从而最终的图象满足了设计要求和过程耐用要求时,设计师/工程师花费了相当多的时间和金钱确定光刻系统的最佳设定,该设定包括数值孔径(NA)、σin、σout等,。当然,发现每层的最佳光刻过程条件牵涉到(involve)从工程设计方面到模拟和实验的巨大工作。2004年8月26日提交的美国专利申请10/926.400中公开了一种允许给定的过程与不同的光刻系统一起利用的方法,其在这里全部被结合作为参考。
如上所提到的,目标图案一般要经历一步使用光刻过程的校准模型的模拟过程,以允许设计师将掩模图案最佳化,以使最终图象在限定的公差内与目标图案匹配。一般,在特定的曝光条件下,在特定的曝光工具上校准这种数据处理中使用的模型,所述模型通称作模型OPC。然而,如上所述,在制造环境中为了满足大量生产的要求,输出到相同种类的其它曝光工具上的光刻过程是不常见的。因而,非常希望在不必进行另一个完全校准过程的情况下,在另一个曝光工具上使用在第一个曝光工具上校准的模型,完全校准是昂贵和耗时的。目前,并没有公知方法允许在不必在其它曝光工具上进行完全校准过程的情况下,将在第一个曝光工具上校准的模型用于另一个曝光工具,。
发明概述
本发明的一个目的是解决前述现有技术中的缺点。为了概括,本发明涉及一种方法和装置,其允许在不必利用第二个曝光工具进行第二模型的校准过程的情况下,利用在第一个曝光工具上校准的模型来产生用来模拟第二个曝光工具成像性能的第二模型。
更具体地说,本发明涉及一种产生模型的方法,所述模型用于模拟多个曝光工具的成像性能。该方法包括下述步骤:产生第一个曝光工具的校准的模型,对于给定的光刻过程,所述校准的模型能评估将要由所述第一个曝光工具产生的图象,其中所述校准的模型包括第一组基本函数;产生第二个曝光工具的模型,对于所述光刻过程,所述模型能评估将要由所述第二个曝光工具产生的图象,其中所述模型包括第二组基本函数;以及将所述第二组基本函数表示为所述第一组基本函数的线性组合,以产生与所述第二个曝光工具对应的等价模型函数,其中对于所述光刻过程,所述等价模型函数产生与由所述第二个曝光工具产生的图象对应的模拟图象。
本发明比现有技术方法提供了显著的优点。最重要的是,因为本发明在不必在其它曝光工具上进行校准过程的情况下,允许与其它曝光工具结合利用先前被校准的模型,所以本发明非常节省成本。
本发明另一个优点是,通过使用为了用于其它曝光工具而已经被修改的校准模型,与仅限于测试相反,其可能进行整个芯片的测试/模拟,如果在其它曝光工具上进行直接实验测试,则就仅限于测试的情况。
从下面本发明典型实施方案的详细描述,对于本领域技术人员来说,本发明另外的优点将变得显而易见。
尽管在本申请中本发明的装置具体用于制造ICs,但是应该明确理解,本发明可能具有其它应用。例如,它可用于制造集成光学系统、磁畴存储器的图案导向和检测、液晶显示板、薄膜磁头等。本领域的技术人员将理解,在这种可替换的用途范围中,在说明书中任何术语“划线板(reticle)”,“晶片”或者“电路小片(die)”的使用应认为分别可以由更上位的术语“掩模”,“基底”和“目标部分”代替。
在本文中,术语“辐射”和“射束”用于包含所有类型的电磁辐射,包括紫外辐射(例如具有365、248、193、157或者126nm的波长)和EUV(远紫外辐射,例如具有5—20nm的波长范围)。
这里使用的术语“掩模”应广义地解释为,能够给入射的射束赋予带图案的截面的一类构图部件,其中所述图案与要在基底的目标部分上形成的图案对应;本文中也使用术语“光阀”。除标准掩模(透射型的或反射型的;二进制型的、相移型的、混合型的)外,这种构图部件的其它例子包括:
●可编程镜阵列。这种设备的一个例子是具有一粘弹性控制层和一反射表面的矩阵可寻址表面。这种装置的基本原理是(例如)反射表面的寻址区域将入射光反射为衍射光,而非可寻址区域将入射光反射为非衍射光。用一个适当的滤光器,从反射的射束中过滤所述非衍射光,只保留衍射光;按照这种方式,射束根据矩阵可寻址表面的寻址图案而产生图案。可以用适当的电子装置进行该所需的矩阵寻址。这种反射镜阵列的更多信息可以从例如美国专利US5,296,891和美国专利US5,523,193中获得,其在这里引入作为参照。
●可编程LCD阵列。在美国专利US5,229,872中给出了这种结构的例子,其在这里引入作为参照。
通过参照下面的详细描述和附图会更好地理解本发明本身以及其它目的和优点。
附图的简要描述
被结合形成说明书一部分的附图与所述描述一起用于解释本发明的原理。附图中:
图1是图解本发明方法的典型流程图。
图2图解了用于将曝光工具之间的不匹配最小化的典型过程,所述曝光工具用于执行相同的光刻过程。
图3图解了典型的本征分解模型(例如第一级(order)本征分解模型)。
图4图解了用于产生校准本征分解模型的典型过程。
图5图解了用于执行本发明的典型处理系统。
图6示意性地描述了适用于依本发明设计的掩模的光刻投射装置。
发明的详细描述
这里公开的是一种在不必进行第二模型和第二个曝光工具的校准的情况下,允许利用与第一个曝光工具一起校准并能模拟第一个曝光工具的成像性能的模型产生第二模型方法和装置,该第二模型能模拟第二个曝光工具成像性能。注意,下面详细描述的典型方法利用了本征函数分解模型(称作本征分解模型,或EDM)来模拟成像过程的性能。然而,还可将本发明的方法和其它类型的模型一起利用。
在讨论本发明的方法之前,先给出关于产生本征分解模型的简要讨论。产生本征分解模型的更详细描述可以从如上所提到的2004年11月5日提交的美国专利申请10/981,750中找到。
如所提到的,在下面解释本发明方法的例子中,利用了恒定阈值本征分解模型(constant threshold eigen decomposition model)。恒定阈值本征分解模型以几个基本定理为前提。第一个就是,任何2D二元图象(binary image)都可以通过在恒定阈值处切割限带实值函数来获得。第二个就是,光学成像系统的空间像是限带函数(band limited function),因此空间像的有效自由度是有限的。第三个就是,用于描述空间像的最佳基本函数是本征函数,其用积分方程来解,该积分方程的算子(operator)由光学成像系统设定来确定。
在半导体制造中典型使用的部分相干(partial coherent)照射条件下,光学成像系统本身在特征上是非线性的。使用光学中非常公知的公式可以很容易而且相当精确地计算任意指定掩模图案的空间像,即在图象平面处的光强分布,例如见H.H.Hopkins,Proc.Roy.Soc.,A,217(1953),408,其在这里被结合作为参考。化学放大光刻胶对光强度的响应以及随后溶剂中的光刻胶显影都是非线性的。晶片上最终显影的图案可以看作是二元的,无论在晶片基板的顶部具有光刻胶或是不具有光刻胶。模型的主函数(main function)提供数学公式,该数学公式能精确预测晶片上来自掩模图案的二元图象或空间像。数学表示为:
T(x,y)=F(M(x,y))         (1)
其中T(x,y)是晶片上产生的二元图象,M(x,y)是掩模上的输入图案(其可包括OPC特征)。F表示未知的函数形式,F是任何模型的核心。在最简单的恒定阈值模型中,二元图象通过使用恒定阈值切割M(x,y)的空间像来获得。注意到,由于光刻胶对比度的有限性,所以通过以恒定阈值切割空间像获得的二元图象并一般不完全与实验结果一致。然而使用恒定阈值获得二元图象的简单性非常有吸引力。存在一个数学定理,规定值为0或1的任何二元函数T(x,y)都能通过将限带连续函数F(x,y)定限(thresholding)为任意特定精度来获得。要求的精度越高,函数F(x,y)要求的带宽越大。这种连续函数F(x,y)在本发明的模型中定义为系统伪强度函数(SPIF)。
换句话说,在本征分解模型中,目的是确定将涉及空间像的函数转变为另一个限带非负实值函数(band limited non-negative real valued function),从该限带非负实值函数,可以通过将非负实值函数定限为特定值而获得期望的二元图象。该新的限带非负实值函数称作系统伪强度函数(SPIF)
从很容易计算的空间像I(x,y)到SPIF的转换构成模型中的关键元件。在位置(x,y)处的SPIF值不仅取决于(x,y)处的空间像强度值,而且还取决于点(x,y)周围的所有空间像强度值。SPIF可以数学地表示为:
SPIF(x,y)=G(I(x,y))     (2)
由于光刻过程的复杂性,不可能根据第一物理定理得出G的精确函数形式。因而,使用G的近似函数形式。这仅当空间像I(x,y)具有有限的自由度时是可能的,因为空间像I(x,y)是限带函数,根据Shanon’s采样定理,空间像具有有限的自由度是正确的,在C.E.Shanon,Proc.IRE,37,(1946),429中讨论了上述情况,其在这里结合作为参考。
该转变并不是点对点的转变。换句话说,如上所述,(x,y)处的SPIF值不仅取决于(x,y)处的空间像的值,而且还取决于(x,y)周围的空间像的值。很明显需要一种描述(x,y)周围空间像强度分布的方法。因为空间像是限带函数,根据这里结合作为参考的C.E.Shanon,Proc.IRE,37,(1946),429中所讨论的Shanon’s采样定理,可得出空间像的有效自由度是有限的。
还已经证明存在一组最佳的基本函数,其分解了从处于特定成像设定的光学成像系统产生的空间像。换句话说,通过掩模函数M(x,y)与本征函数的卷积积分来计算空间像。更具体地说,对于一组正交函数{φi},可以利用下列方程计算空间像:
I ( x , y ) = &Sigma; i = 1 &infin; &alpha; i | &phi; i &CircleTimes; M | 2 - - - - - ( 3 )
其中{φi}组成了一组完整的正交函数,{αi}是相应的加权因子,
Figure C200510054176D0011114315QIETU
表示正交函数{φi}与掩模透过率函数M之间的卷积运算。在成像理论中,方程(3)显示出部分相干(partially coherent)成像系统可以分解为一系列的相干成像系统。尽管有其它的方法来将部分相干成像系统分解为一系列的相干成像系统,但上述方法已经被证实是最佳的一个,其经常称为最佳相干分解。例如参见Y.C.Pati和T.Kailath,J.Opt.Soc.Am,A11,(1994)2438,其在这里结合作为参考。
接着,通过求解下面的积分方程来获得{φi}和{αi},
∫∫W(x1′,y1′;x2′,y1′)φ1(x2′,y2′)dx2′dy1′=α1φi(x1′,y1′)   —(4)
W(x1′,y1′;x2′,y2′)=γ(x2′-x1′,y2′-y1′)K(x1′,y1′)K°(x2′,y2′)  —(5)
其中γ(x2’—x1’,y2’—y1’)是在目标平面处的(x1’,y1’)和(x2’,y2’)之间的互相干,其由照射来确定,K(x1’,y1’)是光学成像系统的脉冲响应函数,其由光学系统的光瞳函数确定。更具体地说,由于在目标平面中(0,0)处的单位振幅和零相位的扰动,其是在图象平面中的点(x1’,y1’)处的复振幅(complexamplitude)。
在通常半导体制造中使用的照射条件下,{αi}随其指数迅速减小(即,α1≥α2≥α3≥...αN≥...,一般当N大约为7时,αN就非常小,趋近于零),仅仅很少几项对于精确近似空间像是必要的。存在噪声时可以忽略其它项的贡献,噪声典型地存在于实际的光学成像过程中。对于该观察,可以假定仅仅前N项是重要的,方程(3)变成:
I ( x , y ) = &Sigma; i = 1 i = N &alpha; i | &phi; i &CircleTimes; M | 2 - - - - - ( 6 )
如果定义:
S i = &alpha; i | &phi; i &CircleTimes; M | 2 - - - - - ( 7 )
则其很明显,(x,y)处的SPIF值必须仅取决于S1,S2...SN的值,方程(1)变为:
SPIF(x,y)=G(S1,S2,...,SN)   ---(8)
使用连续的展开式,具有:
SPIF ( x , y ) = G ( 0,0 , . . . , 0 ) + &Sigma; i = 1 i = N &beta; i S i + &Sigma; i = 1 i = N &Sigma; j = 1 j = N &eta; ij S i S j + . . . - - - - - ( 9 )
如果所有的Si项都是零(i=1,2,...,N),则SPIF应当为零,因此G(0,0,...,0)应当为零。更具体地说,当所有的S项等于零时,从(9)可以得出SPIF=G(0,0,0,...)。然而,仅当掩模全黑时,所有的S项才等于零。在这种情况下,SPIF很明显等于零。因此,从方程(9)获得方程(10)。方程(10)表示了SPIF(x,y)是如何与(x,y)处的信号Si相关的。
SPIF ( x , y ) = &Sigma; i = 1 i = N &beta; i S i + &Sigma; i = 1 i = N &Sigma; j = 1 j = N &eta; ij S i S j + . . . - - - - - ( 10 )
i}和{ηij}是描述光刻胶对信号{S1,S2,...,SN}的响应特性的模行参量。应当理解到,{βi}和{ηij}不受光学成像设定的约束,因为这些参量仅仅依赖于曝光的后续处理。因此,可以通过用实验数据校准模型方程很容易地获得{βi}和{ηij}。
利用上述的恒定阈值本征分解模型,就可能开发用于预测除用来校准模型的曝光工具以外的曝光工具的光刻性能的方法。
更具体地说,假定用于校准模型的曝光工具为曝光工具A,并假定曝光工具A的最佳的基本函数组为{φA i}。还假定曝光工具B的最佳基本函数组为{φB n}。由于两个曝光工具之间的照射器轮廓(illuminator profile)的微小差别或像差特性的一些不同,所以基本函数组{φB n}不同于基本函数组{φA i}。然而,因为{φB n}和{φA i}是完整的函数组,并且它们具有相同的带宽,{φB n}中的每个函数都可以表示为{φA i}的线性组合。更具体地说:
&phi; B n = &Sigma; i = 1 &infin; &chi; n i &phi; A i - - - - ( 11 )
其中:
Figure C200510054176D00132
在典型的应用中,仅仅{φB n}中的前M个函数和{φA j}}中的前N个函数在加权因子方面是重要的。如此,仅需要考虑从{Sn B,n=1,2,...M}到{Si A,i=1,2,...N}上的投射信号振幅。更具体地说:
Figure C200510054176D00133
Figure C200510054176D00134
Figure C200510054176D00135
因为当i≠t时
Figure C200510054176D00136
Figure C200510054176D00137
*的域(fields)之间缺少相位相关性,所以方程(13)中第二项成为零,因此时间平均值变为零。从方程(13)得出,用{φA i}表示的投射信号为:
等价的SPIF为:
Figure C200510054176D00141
使用相同的阈值,可以很容易地从方程(15)中表示的SPIF获得曝光工具B的二元图象。
图1是图解前述方法的流程图,该方法利用第一个曝光工具的校准的模型来预测另一个曝光工具的成像性能。参照图1,在该过程的第一个步,步骤S10中,确定将要使用的光刻过程。接着,在步骤S12中,产生一组确定第一个曝光工具(即曝光工具A)和光刻过程的核(kernels)(即模型)。在给定的实施例中,如上所述,利用本征分解模型。
之后,在步骤S14中,利用用来产生该组核的曝光工具A和光刻过程,使多个测试结构经历实际成像过程。在步骤S16中,校准所述模型。这是通过将测试结构输入所述模型中,并然后将所述模型的结果与步骤S14中产生的实际成像结果对比来完成。然后调整所述模型,直到由所述模型产生的成像结果在预定容错度(error tolerance)内与所述实际成像结果相匹配。如本领域技术人员公知的,所述预定容错度将根据具体的应用和所使用的光刻工具而变化。一旦所述模型被调整在所述预定容错度内,就认为所述模型被校准了。
接着,在步骤S18中,产生一组确定第二个曝光工具(即曝光工具B)和光刻过程的核(即,第二模型)。注意,当产生确定曝光工具B的核时,考虑曝光工具B的照射器轮廓和像差,因为这些是对于两个曝光工具之间的性能变化的主要因素。然而,当然也可考虑其它因素,例如(但并不限于此)焦距设定。注意,为了在产生该组与曝光工具B相关的核之前确定这些因素的值,可测量曝光工具B相关的照射器和与像差。这可以通过例如使用扫描器/步进机上的度量工具来完成。
在接下来的步骤,步骤S20中,用于在步骤S18中产生的曝光工具B的该组核表示为用于曝光工具A的那组核的线性组合,并根据方程14和15产生等价SPIF函数。换句话说,来自曝光工具B的空间像可以用其自身的特性核来表示,然而,光刻胶对这种信号表示的响应是未知的。仅当信号用曝光工具A的特性核表示时,该光刻胶响应才是可知的,因为对于曝光工具A,已经进行了过程或模型校准。就是这个原因才使得需要将来自曝光B的信号转变为用曝光工具A的核表示的信号。接着,在步骤S22中,如果用曝光工具B来成像掩模图案,则可利用步骤S20中产生的SPIF函数来产生与由曝光工具B产生的成像结果对应的二元图象。
因此,在第二模型上不必进行校准过程的情况下,本发明允许利用与第一个曝光工具一起校准的第一模型来产生模拟第二个曝光工具成像性能的第二模型。
除允许按上述方式在没有校准的曝光工具上预测光刻印刷性能以外,本发明还提供了一种方法,该方法使得用于执行相同光刻过程的不同曝光工具间的不匹配最小化。在低kl光刻中,尤其对于那些掩模数据校正需要使用校准的光刻模型的技术中,最小化曝光工具间的不匹配已经成为不断增大的紧迫问题。曝光工具间不匹配的最小化可以减小掩模的有效成本,并显著提高生产率。注意,曝光工具的不匹配主要是由不同曝光工具间的照射器轮廓的变化和像差所产生的。只要能诊断并确定曝光工具性能中变化的主要原因,例如偏差,则本发明就能提供用于减小这种变化的方法。
图2图解了一示范性的过程,该过程用于将依照本发明用来执行相同过程的曝光工具之间的不匹配最小化。下面的例子假定有一组相同种类的曝光工具,{A,B,C,...},并假定曝光工具A是主工具,在其上已经校准了特定的光刻处理,且形成光刻模型。第一步骤,S40确认(identify)主工具,在该例子中为曝光工具A。下一个步骤,S42测量曝光工具A上对工具间成像性能的变化有贡献的主导因素。这些因素包括(但并不限于此)照射器轮廓和像差。之后,在步骤S44中,产生一组确定曝光工具A和光刻过程的核(即模型)。在给定的实施方案中,如上所述,利用本征分解模型。在步骤S46中,利用曝光工具A和选择的光刻过程使多个测试结构经历实际成像处理,从而获得实际的成像结果。然后在步骤S48中,将由步骤S44中生产的模型产生的成像结果与步骤46中产生的实际成像结果对比,利用对比结果来产生指示曝光工具A的模型性能与曝光工具A的实际性能之间差的数据库。然后,通过调整参量,例如,方程(9)的{βA i},可以将模型结果与实验结果之间的差最小化。该步骤,步骤48主要是曝光工具A的模型校准过程。
如下面所解释的,在预测其它曝光工具上的成像性能中将只利用由{βA i}确定的模型。注意,来自曝光工具A的实验结果只用于校准曝光工具A的模型。在曝光工具A上的模型被校准后,不再需要曝光工具A的实验结果。注意,所述过程的该部分与参照图1而在上面描述的相似。
下一步骤,步骤S50选择其它曝光工具中的一个,例如曝光工具B,并测量曝光工具B的相同主导因素,步骤52,在步骤S42中对于曝光工具A已经测量过这些因素。然后,以与步骤S44相同的方式,产生一组确定曝光工具B和光刻过程的核(即模型),步骤S54。在给定的实施方案中,如上所述,利用本征分解模型。
接着,返回步骤S44,将在步骤S54中产生的曝光工具B的该组核表示为曝光工具A的那组核的线性组合。之后,返回步骤48,利用方程15的SPIF函数来确定曝光工具B任何结构的成像性能该任何结构包括(但并不限于)在步骤S46中用来产生实际成像结果的测试结构。
一旦在步骤56中确定了曝光工具B的成像结果,就将这些结果与由曝光工具A的模型产生的成像结果对比,从而确定曝光工具间成像性能中的差。如果曝光工具A和曝光工具B成像性能间的差(即不匹配)在预定的容错度内,步骤58,则完成该过程,步骤60,并且认为曝光工具B能将希望的目标掩模图案成像。
然而,如果成像结果中的差不在预定的容错度内,过程就进入步骤62,在该步骤中调整曝光工具,以将曝光工具B和主曝光工具A间成像性能中的变化或不匹配最小化。例如,工程师或操作者可以调整机器上的光学元件,以改变照射器轮廓或偏差特性。一旦做了调整,就重复步骤S52、S54、S44、S48、S56和S58,以确认调整的工具在预定误差范围内。
图3和4图解了图1中指出的模型校准过程的更加详细解释。参照图3和4,给光学成像模型4提供包含掩模图案特性的输入2,步骤S100。由所使用的照射光源和成像过程的特性(例如,包括数值孔径NA和波长λ)确定表示成像过程的本征函数和本征值,步骤S102。用测试掩模(即测试结构)的特性来确定掩模函数M(x,y),步骤S104,其用作输入2。通过将本征函数与掩模函数M(x,y)卷积来确定空间像,步骤S105。可以利用表示光刻胶效果的第一阶(order)本征函数6确定空间像,以解释特定光刻胶在实际空间像上的效果。将预定的恒定阈值施加给空间像,从而产生具有预测轮廓(contour)的最初的SPIF,步骤S106。将所预测的轮廓与测试掩模的已知轮廓对比,步骤S110,所述测试掩模的已知轮廓通过用相同的照射条件和过程实际印刷测试掩模图象来确定。如果预测的轮廓在测量轮廓的预定容错度内,步骤S112YES(注意,在优选的实施方案中,在对比过程中使用2-维计数器),则证明预测模型是精确的模型,从而完成模型校准,步骤S114。如果预测的轮廓不在预定的容错度内,步骤S112NO,则调整确定成像过程的每个本征函数的相关各权重项,步骤S116,从而产生新的SPIF。然后,将恒定阈值施加给新的SPIF,步骤S108,并重复步骤S108—116中的过程,直到产生了能在预定容错度内提供轮廓的模型为止。
图5图解了用于执行图1—4中示出的本征分解模型的典型处理系统。如图5中所示,典型的掩模优化单元包括处理器1000,其从输入端1003接收输入数据。处理器1000可以是常规的微处理器,或者是特别设计的处理单元,例如EEPROM或EPROM或制造的集成电路。输入端1003可以是任何类型的电子输入器件,例如键盘或鼠标,或是存储器或互联网联接。处理器1000优选从ROM1002和RAM1001检索存储的协议(例如执行图1—4中示出的处理的协议)以及在RAM1001上储存信息。处理器1000的计算结果显示在显示器1004上,并可提供给掩模制作单元。
图6示意性地描述了适用于依本发明设计的掩模的光刻投射装置。该装置包括:
辐射系统Ex、IL,用于提供辐射的投射射束PB,在该具体的例子中该辐射系统还包括辐射源LA;
第一载物台(掩模台)MT,设有用于固定掩模MA(例如划线板)的掩模固定器,并与用于将该掩模相对于物体PL精确定位的第一定位装置连接;
第二载物台(基底台)WT,设有用于固定基底W(例如涂敷光刻胶的硅片)的基底固定器,并与用于将基底相对于物体PL精确定位的第二定位装置连接;
投射系统(“镜头”)PL(例如折射的、反射的或兼折反射光学系统),用于将掩模MA的辐射部分成像在基底W的目标部分C(例如包括一个或多个电路小片(die))上。
如这里所述,该装置是透射型的(即具有透射掩模)。但是该装置通常也可以例如是反射型的(具有反射掩模)。或者该装置可以采用其他类型的构图部件作为使用掩模的替换形式;例子包括可编程反射镜阵列或LCD矩阵。
辐射源LA(例如汞灯或受激准分子激光器)产生射束。该射束直接或经过调节装置(例如扩束器Ex)后,再送入照射系统(照射器)IL上。照射器IL包括调整装置(AM),用于设定射束强度分布的外和/或内径向范围(通常分别称为σ—外和σ—内)。另外,它一般包括各种其它部件,如积分器(IN)和聚光器(CO)。按照这种方式,照射到掩模MA上的射束PB在其横截面具有理想的均匀性和强度分布。
应该注意,对于图6,辐射源LA可以置于光刻投射装置的壳体中(例如当光源LA是汞灯时经常是这种情况),但也可以远离光刻投射装置,其产生的射束被(例如通过适当的定向反射镜的帮助)引导至该装置中;当光源LA是受激准分子激光器(例如基于KrF,ArF或F2发射激光的)时通常是后面的那种情况。本发明包含至少这两种情况。
射束PB然后与固定在掩模台MT上的掩模MA相交。经过掩模MA之后,射束PB通过镜头PL,该镜头将射束PB聚焦在基底W的目标部分C上。在第二定位装置(和干涉测量装置IF)的辅助下,基底台WT可以精确地移动,例如在射束PB的光路中定位不同的目标部分C。类似地,例如在从掩模库中机械取出掩模MA后或在扫描期间,可以使用第一定位装置将掩模MA相对于射束PB的光路进行精确定位。一般地,用图6中未明确显示的长冲程模块(粗略定位)和短行程模块(精确定位),可以实现载物台MT、WT的移动。可是,在晶片步进机中(与分步扫描装置相对),掩模台MT可仅与短冲程传动装置连接,或者固定。
所述工具可以按照两种不同模式使用:
在步进模式中,掩模台MT基本保持不动,整个掩模图像被一次投射(即单“闪”)到目标部分C上。然后基底台WT沿x和/或y方向移动,以使不同的目标部分C能够由射束PB照射;
在扫描模式中,基本为相同的情况,除了所给的目标部分C没有在单“闪”中曝光。取而代之的是,掩模台MT可沿给定的方向(所谓的“扫描方向,例如y方向”)以速度v移动,以使投射射束PB扫描整个掩模图像;同时,基底台WT沿相同或者相反的方向以速度V=Mv同时移动,其中M是镜头PL的放大率(通常M=1/4或1/5)。在这种方式中,可以曝光相当大的目标部分C,而没有牺牲分辨率。
这里披露的观点可以模拟或数学模仿任何类的用于成像子波长特征的成像系统,尤其对新兴的能提供尺寸日益减小的波长的成像技术有用。已经使用的新兴技术包括EUV(远紫外线)光刻,其能使用ArF激光器产生193nm波长,甚至用氟激光器产生157nm波长。此外,通过使用同步加速器或通过用高能电子撞击材料(硅或等离子体),EUV光刻能产生20-5nm范围内的波长,为了产生该范围内的光子。因为大多数材料在该范围内是吸收性的,所以可以通过具有多层钼和硅的反射镜产生照射。多层反射镜具有40层成对的钼和硅,其中每层的厚度是四分之一波长。用X射线光刻甚至可以产生更小的波长。典型地,用同步加速器产生X射线波长。因为大多数材料在X射线波长处是吸收性的,所以吸收材料的薄片确定了特征印刷(正型光刻胶)位置或不印刷(负型光刻胶)的位置。
尽管这里披露的观点用于在基板(如硅晶片)上成像,但应当理解,所披露的观点可用于任何类型的光刻成像系统,例如那些用于在除硅晶片以外的基板上成像的系统。
处理器1000的软件功能性涉及编程(包括可执行编码),用于执行为不同光刻系统确定最佳DOE的上述方法。通过通用计算机执行所述软件代码。在操作中,代码和可能的相关数据记录都存储在通用计算机平台内。然而,其它时候,软件可以存储在其它位置和/或传输装载进适当的通用计算机系统内。因此,上述讨论的实施方案包括被至少一个机器可读介质装载的、一个或多个代码模型形式的一个或多个软件产品。以在这里讨论和图解的实施方案中执行的基本方式,通过计算机系统的处理器执行这种代码能够使所述平台进行目录和/或软件下载功能。
如这里所使用的术语,例如计算机或机器“可读介质”是指参与将指令提供给处理器以便执行的任何介质。这种介质可以是任何形式,包括(但并不限于)永久性介质、非永久性介质和传送介质。永久性介质包括例如光盘或磁盘,例如,上述讨论的作为一个服务平台而操作的任何计算机中的任意存储器件。非永久性介质包括动态存储器,如这种计算机平台的主存储器。物理传送介质包括同轴电缆、铜线和光纤,包括含有计算机系统内总线的线。载波传送介质可以是电子或电磁信号,或声波或光波的形式,例如在无线电频率(RF)和红外(IR)数据通讯中产生的那些波。因此计算机可读介质的共同形式包括,例如:软盘、柔性盘(flexible disk)、硬盘、磁带、任何其它的磁性介质、CD-ROM、DVD、任何其它的光学介质、通常很少使用的介质如穿孔卡片、纸带、任何其他的具有孔图案的物理介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它的存储芯片或模块、载波传输数据或指令、传输这种载波的电缆或连接或任何其它的、计算机从中读取程序编码和/或数据的介质。许多这些形式的计算机可读介质可涉及到将一个或多个指令的一个或多个序列装载进处理器,进行运行。
注意,本发明前述实施方案的变化也是可能的。如已经提到的,尽管上述实施方案描述了本发明与本征分解模型结合使用,但本发明也可以与其它类型的模型模拟器一起使用。
尽管已经详细描述并图解了本发明,但应清楚地理解到,以上仅仅是图解和举例的目的并不是限制本发明,本发明的范围仅由所附的权利要求书来限定。

Claims (10)

1.一种用于产生模型的方法,所述模型用于模拟多个曝光工具的成像性能,所述方法包括下述步骤:
产生第一个曝光工具的校准的模型,对于给定光刻过程,所述校准的模型能评估将要由所述第一个曝光工具产生的图象,所述校准的模型包括第一组基本函数;
产生第二个曝光工具的模型,对于所述光刻过程,所述模型能评估将要由所述第二个曝光工具产生的图象,所述模型包括第二组基本函数;以及
将所述第二组基本函数表示为所述第一组基本函数的线性组合,以产生与所述第二个曝光工具对应的等价模型函数,
其中对于所述光刻过程,所述等价模型函数产生与由所述第二个曝光工具产生的图象对应的模拟图象。
2.根据权利要求1的方法,其中所述校准的模型通过下述步骤产生:
确定所述第一个曝光工具的参量和在所述光刻过程中将要利用的处理条件;
产生所述第一个曝光工具的最初模型;
确定多个测试结构;
利用所述第一个曝光工具和所述光刻过程的处理条件将所述测试结构成像,以获得实际成像结果;
通过使所述测试结构经历所述最初模型而产生模拟成象结果;
将所述模拟成像结果与所述实际成像结果对比;以及
调整所述最初模型,以使所述模拟成像结果与所述实际成像结果之间的差小于预定的标准,
其中所述调整的最初模型对应于所述校准的模型。
3.根据权利要求1的方法,其中所述第一组基本函数和所述第二组基本函数包括多个本征函数。
4.根据权利要求1的方法,其中在所述第二个曝光工具上没有进行校准处理。
5.根据权利要求2的方法,其中在对比处理中,对比所述模拟成像结果与实际成像结果使用二维轮廓图案。
6.一种用于产生模型的装置,所述模型用于模拟多个曝光工具的成像性能,所述装置包括:
用于产生第一个曝光工具的校准的模型的部件,对于给定光刻过程,所述校准的模型能评估将要由所述第一个曝光工具产生的图象,所述校准的模型包括第一组基本函数;
用于产生第二个曝光工具的模型的部件,对于所述光刻过程,所述模型能评估将要由所述第二个曝光工具产生的图象,所述模型包括第二组基本函数;以及
用于将所述第二组基本函数表示为所述第一组基本函数的线性组合,以产生与所述第二个曝光工具对应的等价模型函数的部件,
其中对于所述光刻过程,所述等价模型函数产生与由所述第二个曝光工具产生的图象对应的模拟图象。
7.根据权利要求6的装置,其中用于产生所述校准的模型的所述部件包括:
用于确定所述第一个曝光工具的参量和在所述光刻过程中将要利用的处理条件的部件;
用于产生所述第一个曝光工具的最初模型的部件;
用于确定多个测试结构的部件;
用于利用所述第一个曝光工具和所述光刻过程的处理条件将所述测试结构成像、以获得实际成像结果的部件;
用于通过使所述测试结构经历所述最初模型而产生模拟成象结果的部件;
用于将所述模拟成像结果与所述实际成像结果对比的部件;以及
用于调整所述最初模型,以使所述模拟成像结果与所述实际成像结果之间的差小于预定标准的部件,
其中所述调整的最初模型对应于所述校准的模型。
8.根据权利要求6的装置,其中所述第一组基本函数和所述第二组基本函数包括多个本征函数。
9.根据权利要求6的装置,其中在所述第二个曝光工具上没有进行校准处理。
10.根据权利要求7的装置,其中在对比处理中,对比所述模拟成像结果与所述实际成像结果使用二维轮廓图案。
CNB2005100541766A 2004-01-30 2005-01-29 产生模拟曝光工具成像性能模型的方法和装置产品 Active CN100472326C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53999704P 2004-01-30 2004-01-30
US60/539997 2004-01-30

Publications (2)

Publication Number Publication Date
CN1683998A CN1683998A (zh) 2005-10-19
CN100472326C true CN100472326C (zh) 2009-03-25

Family

ID=34837367

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100541766A Active CN100472326C (zh) 2004-01-30 2005-01-29 产生模拟曝光工具成像性能模型的方法和装置产品

Country Status (8)

Country Link
US (2) US7242459B2 (zh)
EP (1) EP1560073B1 (zh)
JP (1) JP4761789B2 (zh)
KR (1) KR100824031B1 (zh)
CN (1) CN100472326C (zh)
DE (1) DE602005014291D1 (zh)
SG (1) SG113602A1 (zh)
TW (1) TWI305299B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7337425B2 (en) * 2004-06-04 2008-02-26 Ami Semiconductor, Inc. Structured ASIC device with configurable die size and selectable embedded functions
US7116411B2 (en) 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
DE102005009536A1 (de) * 2005-02-25 2006-08-31 Carl Zeiss Sms Gmbh Verfahren zur Maskeninspektion im Rahmen des Maskendesigns und der Maskenherstellung
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7519940B2 (en) * 2005-05-02 2009-04-14 Cadence Design Systems, Inc. Apparatus and method for compensating a lithography projection tool
JP2007142275A (ja) 2005-11-21 2007-06-07 Toshiba Corp フォトマスクの判定方法、半導体装置の製造方法及びプログラム
JP5235322B2 (ja) * 2006-07-12 2013-07-10 キヤノン株式会社 原版データ作成方法及び原版データ作成プログラム
JP4707701B2 (ja) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
KR101831926B1 (ko) 2007-01-18 2018-02-28 가부시키가이샤 니콘 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
JP4484909B2 (ja) * 2007-07-24 2010-06-16 キヤノン株式会社 原版データ作成方法、原版作成方法、露光方法および原版データ作成プログラム
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7930657B2 (en) * 2008-01-23 2011-04-19 Micron Technology, Inc. Methods of forming photomasks
NL1036750A1 (nl) 2008-04-14 2009-10-15 Brion Tech Inc A Method Of Performing Mask-Writer Tuning and Optimization.
JP5913979B2 (ja) * 2008-06-03 2016-05-11 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
JP5300354B2 (ja) * 2008-07-11 2013-09-25 キヤノン株式会社 生成方法、原版作成方法、露光方法、デバイス製造方法及びプログラム
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003716A (en) * 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
JP5969848B2 (ja) * 2012-07-19 2016-08-17 キヤノン株式会社 露光装置、調整対象の調整量を求める方法、プログラム及びデバイスの製造方法
EP2952964A1 (en) * 2014-06-03 2015-12-09 Aselta Nanographics Method for determining the parameters of an ic manufacturing process by a differential procedure
CN104977816B (zh) * 2015-08-05 2018-01-23 哈尔滨工业大学 基于Compact Particle Swarm Optimization算法的光刻机掩模台微动台的机械参数软测量方法
CN105068383B (zh) * 2015-08-05 2017-04-05 哈尔滨工业大学 一种微动台机械参数误差辨识方法
EP3153924B1 (en) * 2015-10-07 2021-11-17 Aselta Nanographics Method for determining the dose corrections to be applied to an ic manufacturing process by a matching procedure
KR102084026B1 (ko) * 2015-11-13 2020-04-24 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 성능을 예측하는 방법, 리소그래피 장치의 캘리브레이션, 디바이스 제조 방법
CN110709779B (zh) * 2017-06-06 2022-02-22 Asml荷兰有限公司 测量方法和设备
KR102446690B1 (ko) 2017-12-22 2022-09-23 에이에스엠엘 네델란즈 비.브이. 광학 수차를 포함하는 패터닝 공정 개선
US10656528B1 (en) * 2018-10-05 2020-05-19 Synopsys, Inc. Lithographic mask functions to model the incident angles of a partially coherent illumination
CN112363372B (zh) * 2020-11-19 2023-03-10 东方晶源微电子科技(北京)有限公司深圳分公司 一种负显影光刻工艺的仿真方法、负显影光刻胶模型、opc模型及电子设备
TWI817116B (zh) * 2021-05-12 2023-10-01 和碩聯合科技股份有限公司 物件定位方法及物件定位系統

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4894790A (en) 1986-02-05 1990-01-16 Omron Tateisi Electronics Co. Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
EP1099959A3 (en) 1991-12-20 2001-06-13 Essex Corporation Image synthesis using time sequential holography
JP3426647B2 (ja) 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JP3409493B2 (ja) 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5965312A (en) * 1996-05-16 1999-10-12 Fuji Xerox Co., Ltd. One-component developer
US5795688A (en) 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6370679B1 (en) * 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
JP2002190443A (ja) * 2000-12-20 2002-07-05 Hitachi Ltd 露光方法およびその露光システム
TWI285299B (en) * 2001-04-04 2007-08-11 Asml Netherlands Bv Lithographic manufacturing process, lithographic projection apparatus, and device manufactured thereby
JP2003059787A (ja) * 2001-08-13 2003-02-28 Sony Corp シミュレーション方法および回路パターンの形成方法
DE10146499B4 (de) * 2001-09-21 2006-11-09 Carl Zeiss Smt Ag Verfahren zur Optimierung der Abbildungseigenschaften von mindestens zwei optischen Elementen sowie Verfahren zur Optimierung der Abbildungseigenschaften von mindestens drei optischen Elementen
TWI228642B (en) * 2001-10-09 2005-03-01 Asml Masktools Inc Method of two dimensional feature model calibration and optimization
JP3886820B2 (ja) * 2002-02-14 2007-02-28 株式会社東芝 露光装置の事前引当システム、露光装置の事前引当方法、及び露光装置の事前引当プログラム
DE10216986A1 (de) * 2002-04-16 2003-10-30 Heidenhain Gmbh Dr Johannes Verfahren zum Überprüfen eines Umrichters
US6777147B1 (en) * 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
DE102004006262B9 (de) 2004-02-09 2006-12-21 Infineon Technologies Ag Abbildungseinrichtung und Verfahren zum Entwerfen einer Abbildungseinrichtung

Also Published As

Publication number Publication date
DE602005014291D1 (de) 2009-06-18
CN1683998A (zh) 2005-10-19
US20050179886A1 (en) 2005-08-18
US7242459B2 (en) 2007-07-10
KR100824031B1 (ko) 2008-04-21
SG113602A1 (en) 2005-08-29
TWI305299B (en) 2009-01-11
EP1560073A2 (en) 2005-08-03
US7440082B2 (en) 2008-10-21
EP1560073B1 (en) 2009-05-06
JP2005217430A (ja) 2005-08-11
US20070247610A1 (en) 2007-10-25
JP4761789B2 (ja) 2011-08-31
TW200538890A (en) 2005-12-01
EP1560073A3 (en) 2008-05-14
KR20050078234A (ko) 2005-08-04

Similar Documents

Publication Publication Date Title
CN100472326C (zh) 产生模拟曝光工具成像性能模型的方法和装置产品
CN101738872B (zh) 用于光刻校准的方法和系统
CN100468204C (zh) 用于模拟光刻过程的方法和装置
CN101751502B (zh) 用于光刻过程窗口最大化光学邻近效应校正的方法和系统
CN102224459B (zh) 用于优化光刻过程的方法及设备
CN102057330B (zh) 基于模型的扫描器调节方法
CN102466984B (zh) 源、掩模和投影光学装置的优化
CN101452221B (zh) 光刻工艺窗口模拟的方法和系统
US10169522B2 (en) Methods and system for model-based generic matching and tuning
CN101738871B (zh) 用于光刻术模型校准的图案选择
CN101373338B (zh) 用于实现基于模型的扫描器调整方法
CN103246173B (zh) 用于3d抗蚀剂轮廓模拟的光刻模型
CN102866590A (zh) 用于对波前像差具有定制的响应的图案设计的方法和系统
CN113892059A (zh) 用于确定图案化过程中的图案的方法
CN101840163A (zh) 照明源和掩模优化
US20230107556A1 (en) Machine learning based subresolution assist feature placement
US11669019B2 (en) Method for determining stochastic variation associated with desired pattern
US8792147B2 (en) Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
US20230081821A1 (en) Method for predicting stochastic contributors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ASML HOLLAND CO., LTD.

Free format text: FORMER OWNER: ASML FRISKET TOOLS B.V.

Effective date: 20140211

TR01 Transfer of patent right

Effective date of registration: 20140211

Address after: Holland Weide Eindhoven

Patentee after: ASML Holland Co., Ltd.

Address before: Holland Weierde Eindhoven

Patentee before: ASML Frisket Tools B.V.

TR01 Transfer of patent right