CN100461446C - 在拉伸应变绝缘体上SiGe上的应变SiMOSFET - Google Patents

在拉伸应变绝缘体上SiGe上的应变SiMOSFET Download PDF

Info

Publication number
CN100461446C
CN100461446C CNB2005100794562A CN200510079456A CN100461446C CN 100461446 C CN100461446 C CN 100461446C CN B2005100794562 A CNB2005100794562 A CN B2005100794562A CN 200510079456 A CN200510079456 A CN 200510079456A CN 100461446 C CN100461446 C CN 100461446C
Authority
CN
China
Prior art keywords
layer
strain
sige alloy
sige
alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100794562A
Other languages
English (en)
Other versions
CN1716629A (zh
Inventor
陈国仕
赵泽安
科恩·里姆
师利仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1716629A publication Critical patent/CN1716629A/zh
Application granted granted Critical
Publication of CN100461446C publication Critical patent/CN100461446C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Abstract

本发明提供了一种用作形成高性能金属氧化物半导体场效应晶体管器件的模板的半导体结构,提供了一种包括绝缘体上SiGe衬底,该衬底包含位于绝缘层顶上的拉伸应变SiGe合金层;和在所述拉伸应变SiGe合金层顶上的应变Si层。还提供了一种形成所述拉伸应变SGOI衬底已经上述异质结构的相应方法。该方法通过提供直接在绝缘层顶上的拉伸应变SiGe合金层,消除了对应变Si层和下面层中Ge含量的偏好。

Description

在拉伸应变绝缘体上SiGe上的应变SiMOSFET
发明领域
本发明涉及一种用作形成高性能金属氧化物半导体场效应晶体管(MOSFET)器件的模板的半导体结构,尤其涉及一种包含在拉伸应变绝缘体上SiGe(SGOI)上的应变Si层的异质结构。本发明还提供了一种形成本发明的半导体异质结构的方法。
发明背景
短语“应变硅互补金属氧化物半导体(CMOS)”主要指的是在具有弛豫硅-锗(SiGe)合金层上的薄应变硅(应变Si)层的衬底上制造的CMOS器件。应变Si层中电子和空穴的迁移率已经显示出比体式硅层中更高,且具有应变Si沟道的MOSFET已经试验证明,与在普通的(未应变的)硅衬底上制造的器件相比,显示出增强的器件性能。潜在的性能改善包括增加器件的驱动电流和跨导,以及增加缩放操作电压的能力,而没有牺牲电路速度,以便降低功耗。
应变Si层是在晶格常数大于硅的材料形成的衬底上生长的硅中诱发产生双轴拉伸应力的结果。锗的晶格常数大约比硅大4.2%,SiGe合金的晶格常数与锗的浓度成线性关系。结果,含有原子百分比为50%的锗的SiGe合金的晶格常数约比硅的晶格常数大1.02倍。
Si在这种SiGe衬底上的外延生长将产生承受拉伸应变的Si层,其中下面的SiGe衬底基本上没有应变,或“弛豫”。实现了用于MOSFET应用的应变Si沟道结构的优点的结构和工艺在共同转让的Chu等的美国专利US6059895中公开,它公开了一种形成在SiGe层上有应变Si沟道的CMOS器件的技术。
完全实现应变Si CMOS技术的全部优点的困难是弛豫SiGe层在应变Si层下的存在。如上所述,Si沟道中的应变取决于SiGe合金层的晶格常数。因此,为增加应变和迁移率,需要Ge含量增加的SiGe。然而,在CMOS器件的制造过程中使用高Ge含量(约35原子%或更大)在化学方面存在问题。尤其是,具有高Ge含量的SiGe层可能与多个加工步骤互相作用,比如热氧化,沉积扩散,硅化物形成和退火,所以在CMOS的制造过程中难以保持材料的完整性,且可能最后限制可实现的器件性能提升和器件产量。
共同转让的Rim的美国专利US6603156公开了一种直接在绝缘体上硅衬底的绝缘层顶上形成应变Si层的方法。在'156专利中公开的方法通过从所述结构完全去除SiGe合金层克服了现有技术中的缺点。虽然'156专利提供了针对应变Si/弛豫SiGe异质结构的问题的替代例,但仍然需要提供一种消除对应变Si层中高应变和下面的SiGe合金层中Ge含量的偏爱的方法。这种方法将可以继续使用应变Si/SiGe异质结构技术。
发明内容
本发明提供了一种用作形成高性能金属氧化物半导体场效应晶体管(MOSFET)器件的模板的半导体结构。更准确地说,本发明提供了一种异质结构,该结构包含在拉伸应变的绝缘体上SiGe(SGOI)上的应变Si层。在更宽的方面,本发明的结构包含:
绝缘体上SiGe衬底,包含位于绝缘层顶上的拉伸应变SiGe合金层,其中键合界面位于所述拉伸应变SiGe合金层和所述绝缘层之间,衬底位于所述绝缘层下方;和
在所述拉伸应变SiGe合金层顶上的应变Si层。
本发明还提供了一种形成所述拉伸应变的SGOI衬底以及上述异质结构的方法。本发明的方法通过提供直接在绝缘层上的拉伸应变的SiGe合金层,消除了对应变Si层中高应变和下面层中Ge含量的偏爱。
准确地说,且在宽泛的方面,本发明的方法包含步骤:
形成第一多层结构,所述结构包含位于弛豫SiGe合金层上方的拉伸应变SiGe合金层,其中所述拉伸应变SiGe合金含有比所述弛豫SiGe合金层更低的Ge含量;
使所述第一多层结构与在与所述弛豫SiGe合金层相对的表面上的第二多层结构的绝缘层键合,其中键合界面位于所述拉伸应变SiGe合金层和所述绝缘层之间;
去除所述弛豫SiGe合金层。
在一些实施例中,应变Si层可以包括在第一多层结构中,该结构含有拉伸应变SiGe合金层和弛豫SiGe合金层。在这一实施例中,应变Si层位于拉伸应变SiGe合金层和弛豫SiGe合金层之间。在该实施例中,且在去除了弛豫SiGe合金层之后,在拉伸应变绝缘体上SiGe衬底上形成应变Si层时不再需要加工步骤。
在另一实施例中,拉伸应变SiGe合金层直接在弛豫SiGe合金层顶上形成。在该实施例中,在去除了弛豫SiGe合金层之后,应变Si在拉伸应变SiGe合金层顶上形成。
在一些实施例中,在键合之前,至少一个第二半导体层可以在拉伸应变SiGe合金层顶上形成。该实施例可以形成多层异质结构。
在完成上述加工步骤之后,至少一个场效应晶体管(FET)可以在应变Si层顶上形成。
附图说明
图1A-1C是示出了在拉伸应变绝缘体上SiGe(SGOI)衬底上形成含有应变Si层的结构时使用的基本加工步骤的示图(剖面图)。
图2是示出了在图1A-1C中提供的结构顶上形成的FET的示图(剖面图)。
图3是示出了在可以使用图1A-1C所示的加工步骤制成的替代机构顶上形成的FET的示图(剖面图)。
具体实施方式
现在将通过参照本发明的附图更详细地描述本发明,本发明提供了在拉伸应变绝缘体上SiGe衬底上的应变Si层以及制造方法。在没有按比例绘出的附图中,相同和/或对应的元件用相同的附图标记来表示。
现在参照图1A-1C,它们示出了在拉伸应变绝缘体上SiGe衬底上形成应变Si层的本发明中可以采用的基本加工步骤。准确地说,图1A示出了第一多层结构10,该结构包含弛豫SiGe合金层,Si1-yGey层12,位于弛豫SiGe合金层12的表面上的可选应变Si层14,和位于可选应变Si层14上的拉伸应变SiGe合金层,Si1-xGex层16。当在图1A所示的结构中没有可选应变Si层14时,拉伸应变SiGe合金层16直接在弛豫SiGe合金层12的表面上。
在上述化学式中且根据本发明,x小于y,所以拉伸应变SiGe合金层16含有比弛豫SiGe合金层12中更多的硅。因此,拉伸应变SiGe合金16具有与弛豫SiGe合金层12的晶格常数不同的晶格常数。尤其是,拉伸应变SiGe合金层16的晶格常数小于弛豫SiGe合金层12的晶格常数。注意的是弛豫SiGe合金层12的晶格常数通常也大于应变Si层14的晶格常数。
图1A中所示的第一多层结构10通过首先提供弛豫SiGe合金层12作为衬底而形成,所述合金层中形成应变Si14和/或拉伸应变SiGe合金层16。应变Si层14是可选的,不是必须在第一多层结构10中存在。弛豫SiGe合金层12的功能是诱发双轴拉伸应力,该应力在层14和/或层16中产生所需级别的应变。因为对于SiGe合金来说,锗浓度[Ge]和晶格常数之间的关系是线性的,所以在层14和/或层16中诱发的应变量可以通过SiGe合金层12中锗的量调整。
弛豫SiGe合金层12可以通过公知的方法形成,例如外延生长,Czhochralski生长等。因为SiGe合金层具有比硅更大的晶格常数,层14和16承受双轴拉伸,而下面的SiGe合金层12基本上保持没有应变,或是“弛豫的”。在本发明中采用的弛豫SiGe合金层12的厚度可以根据在形成所述合金层中使用的方法变化。然而,通常弛豫SiGe合金层12具有约50至约5000nm的厚度,更典型的是约200至约3000nm的厚度。
在提供了弛豫SiGe合金层12之后,应变Si层14可以任选地在弛豫SiGe合金层12的表面上形成。应变Si层14通过任何普通的外延生长工艺形成。应变Si层14的厚度通常约2至约40nm,更典型的是约10至25nm。在本发明中形成的应变Si层14通常具有比Si的自然晶格常数大约0.01至4.2%的面内晶格常数。
接着,在应变Si层14的表面上,比如图1A所示,或当没有应变Si层14时,直接在弛豫SiGe合金层12的表面(未示出)上形成拉伸应变SiGe合金层16。拉伸应变SiGe合金层16可以通过任何方法形成,包括例如外延生长。本发明此时形成的拉伸应变SiGe合金层16通常具有约5至300nm的厚度,更典型的是约10至100nm的厚度。拉伸应变SiGe合金层16通常含有约1-99原子%的Ge的Ge含量,但Ge含量小于弛豫SiGe合金层12中的Ge含量。
虽然在图1A-1C的工艺流程图中没有示出,但本发明此时可以在拉伸应变SiGe合金层16顶上形成一或多个可选的第二半导体层。所述一或多个可选半导体层可以利用本领域技术人员公知的普通沉积工艺形成,包括例如外延生长,化学气相沉积,蒸发,等离子增强的化学气相沉积等。本发明此时可以形成的一或多个可选半导体层的说明性示例包括,但不限于:Si,SiGe,Ge,GaAs,InAs,InP或其他III/V和II/VI族化合物半导体,包括多层。所述一或多个可选的第二半导体层的厚度可以根据所采用的第二半导体材料的数目变化。通常,所述一或多个第二半导体层具有约5至约300nm的总厚度,更典型的是约10至约100nm。所述一或多个可选的第二半导体层的存在可以形成含有多个异质结构层的结构。图3示出了第二半导体材料的存在。在该图中,第二半导体材料用附图标记26标出。
在提供了图1A所示的第一多层结构10之后,第二多层结构18(见图1B)形成,它包括在衬底22上的绝缘层20,所述衬底至少最初用作绝缘层20的搬运晶片。在下面更为明显,可以预见在绝缘层20和衬底22之间或在衬底的背面(与绝缘层20相对)上包括多种材料形成的一或多个层。
绝缘层20包含氧化物、氮化物、氮氧化物或其任何组合。可以用作绝缘层20的材料的说明性示例包括,但不限于:二氧化硅(硅石,SiO2),氮化硅(SiN),氧化铝(矾土;Al2O3),氮氧化硅,氧化铪(二氧化铪,HfO2),氧化锆(锆土,ZrO2),和掺杂的氧化铝。可取的是,绝缘层20是氧化物。绝缘层20的厚度通常约1至约1000nm,更典型的是约10至约300nm的厚度。绝缘层20在衬底22的表面上使用普通的沉积工艺形成,比如CVD,PECVD,蒸发化学溶液沉积,原子层沉积等。或者,绝缘层20可以通过热氧化,热氮化或其组合在衬底22顶上形成。
在本发明中采用的衬底22由任何半导体材料形成,包括例如Si,SiGe,Ge,GaAs,InAs,InP或其他III/V和II/VI化合物半导体。衬底22也可由层状半导体形成,比如Si/SiGe或预成形的绝缘体上硅(SOI)或绝缘体上SiGe(SGOI)衬底。衬底22的厚度对本发明来说无关紧要。
应当注意的是用作层12,14,16,22的半导体材料可以具有相同的晶向或它们可以具有不同的晶向。
第二多层结构18与第一多层结构10键合,从而提供图1B所示的键合结构24。准确地说,第二多层结构18的绝缘层20的暴露上表面键合在多层结构10的拉伸应变的SiGe合金层16的暴露上表面上。
两个多层结构之间的键合包括任何普通键合方法,包括半导体-绝缘体键合。例如,上述两个多层结构的键合可以在本发明中通过首先使两结构互相密切接触;然后可选地向接触的结构施加外力而完成。所述两个多层结构可以在接触之后在能够增加两结构之间的键合能的条件下可选地退火。退火步骤可以在有或没有外力时完成。键合通常在标称室温下在最初接触步骤中完成。标称室温指的是约15℃至约40℃的温度,更优选的是约25℃。虽然通常在这些温度下完成键合,但也可以想到标称值以上的其他温度。
在键合之后,键合结构24可以再次退火,从而提高键合强度,改善界面特性。再次退火温度通常在约900°至约1300℃,更典型的是约1000°至约1100℃的退火温度。在上述温度范围内进行多个时间段的退火,可以从约1小时至约24小时。退火气氛可以是O2,N2,Ar或低真空,有或没有外部粘结力。也可以想到上述退火气氛的混和,有或没有惰性气体。虽然经常使用高温退火(如上所述),也可以使用低温退火(小于900℃),也可以实现良好的机械和电学性能。
在形成图1B中所示的键合结构24之后,从所述结构去除弛豫SiGe层12,从而露出下面的应变Si层14,如果有的话,或拉伸应变SiGe合金层16,如果应变Si层14不存在的话。图1C示出了在去除弛豫SiGe合金层12之后露出应变Si层14的结构。
弛豫SiGe合金层12通过比如化学-机械抛光(CMP),晶片劈裂(比如可以从LETI得到的SmartCut工艺),对硅具有选择性的化学蚀刻工艺,或这些技术的组合方法完全去除。当存在应变Si层14时,完全去除驰豫SiGe层12的优选方法是选择性化学蚀刻工艺,比如HHA(过氧化氢,氢氟酸,醋酸)蚀刻,该工艺择优地蚀刻SiGe合金层12。当驰豫SiGe合金层12直接接触拉伸应变的SiGe合金层16时,通常进行CMP或晶片劈裂。如果使用SmartCut工艺,那么该工艺所需的氢注入步骤可以在本发明的工艺中多个时点进行。
在事先不存在应变Si层14的实施例中,应变Si层14可以在本发明此时通过外延生长在露出的拉伸应变SiGe合金层16顶上形成。
在形成应变Si-SGOI结构之后,一或多个场效应晶体管(FET)可以在应变Si层14的表面顶上形成,提供图2和3所示的结构。在这些附图中,为清楚起见,衬底22已经省略,附图标记50指的是FET区,附图标记52指的是栅电介质,附图标记54指的是栅极导体,附图标记56指的是侧壁分隔层。FET50利用本领域技术人员公知的普通CMOS加工步骤在应变Si层14顶上形成。栅极电介质52的材料(氧化物,氮化物,氮氧化物或其组合),栅极导体54(多晶Si,金属,金属合金,硅化物或其组合),侧壁分隔层56(氧化物,氮化物,氮氧化物或其组合)是本领域技术人员公知的。应变Si层14的在栅极区域50下面的部分用作器件沟道。源极/漏极延伸部分和扩散区域(未示出)可以通过普通的离子注入和退火在应变Si层14内形成。硅化物触点和/或凸起的源极/漏极区域也可以通过本领域技术人员公知的普通方法形成。在上述加工步骤之后,也可以在图2和3所示的结构上进行普通的线后端(BEOL)加工。在图2和3中所示的示例性结构是相同的,除了在拉伸应变SiGe合金层16和绝缘层20之间有第二半导体层26之外。
强调的是上述本发明的方法消除了应变Si层14中高应变和下面的拉伸应变SiGe合金层16中Ge含量的偏爱。在图2和3所示的结构中,在应变Si层14下面的拉伸应变SiGe合金层16用作应变Si层14的模板。
虽然已经参照优选实施例具体示出和描述了本发明,但本领域的技术人员应当理解,可以在形式和细节上作出前述和其他变化,而没有脱离本发明的主旨和范围。所以,本发明不限于所述和所示的确切形式和细节,而是落入所附权利要求的主旨和范围内的。

Claims (25)

1.一种半导体结构,包含:
绝缘体上SiGe衬底,包含位于绝缘层顶上的拉伸应变SiGe合金层,其中键合界面位于所述拉伸应变SiGe合金层和所述绝缘层之间,衬底位于所述绝缘层下方;和
在所述拉伸应变SiGe合金层顶上的应变Si层。
2.如权利要求1所述的半导体结构,其特征在于,所述绝缘层包含氧化物、氮化物、氮氧化物或其任何组合。
3.如权利要求2所述的半导体结构,其特征在于,所述绝缘层是氧化物。
4.如权利要求1所述的半导体结构,其特征在于,所述绝缘层具有1至1000nm的厚度。
5.如权利要求1所述的半导体结构,其特征在于,所述拉伸应变SiGe合金层包含1.0至99原子%的Ge。
6.如权利要求1所述的半导体结构,其特征在于,所述拉伸应变SiGe合金层具有5至300nm的厚度。
7.如权利要求1所述的半导体结构,其特征在于,还包含至少一个在所述拉伸应变SiGe合金层和所述绝缘层之间的第二半导体材料。
8.如权利要求7所述的半导体结构,其特征在于,所述至少一个第二半导体材料包含Si,SiGe,Ge,GaAs,InAs,InP或其他III/V和II/VI族化合物半导体。
9.如权利要求1所述的半导体结构,其特征在于,所述衬底包含Si,SiGe,Ge,GaAs,InAs,InP或其他III/V和II/VI族化合物半导体。
10.如权利要求1所述的半导体结构,其特征在于,还包含至少一个位于所述应变Si层上的场效应晶体管。
11.一种形成半导体结构的方法,包含如下步骤:
形成第一多层结构,所述结构包含位于弛豫的SiGe合金层上方的至少一层拉伸应变的SiGe合金层,其中所述拉伸应变的SiGe合金含有比所述弛豫SiGe合金层更低的Ge含量;
使所述第一多层结构与在与所述弛豫SiGe合金层相反的表面上的第二多层结构的绝缘层键合,其中键合界面位于所述拉伸应变SiGe合金层和所述绝缘层之间;
去除所述弛豫SiGe合金层。
12.如权利要求11所述的方法,其特征在于,所述第一多层结构还包括在所述拉伸应变SiGe合金层和所述驰豫SiGe合金层之间的应变Si层。
13.如权利要求11所述的方法,其特征在于,所述拉伸应变SiGe合金通过外延生长形成。
14.如权利要求12所述的方法,其特征在于,所述应变Si层承受双轴拉伸应变。
15.如权利要求11所述的方法,其特征在于,所述键合包含使所述第一和所述第二多层结构接触。
16.如权利要求15所述的方法,其特征在于,还包含在所述接触过程中对所述第一和所述第二多层结构施加外力。
17.如权利要求15所述的方法,其特征在于,所述接触过程发生在15℃至40℃的温度或大于40℃的温度下。
18.如权利要求15所述的方法,其特征在于,还包含在所述接触之后的退火步骤。
19.如权利要求11所述的方法,其特征在于,所述去除所述驰豫SiGe合金层的过程包含化学机械抛光,晶片劈裂,化学蚀刻或其组合。
20.如权利要求11所述的方法,其特征在于,还包含在所述的去除所述驰豫SiGe合金层之后在所述拉伸应变SiGe合金的顶上形成应变Si层。
21.如权利要求20所述的方法,其特征在于,还包含在所述应变Si层上形成至少一个场效应晶体管。
22.如权利要求12所述的方法,其特征在于,还包含在所述的去除所述驰豫SiGe合金层之后在所述应变Si层上形成至少一个场效应晶体管。
23.如权利要求11所述的方法,其特征在于,所述第二多层结构至少包括衬底。
24.一种形成半导体结构的方法,包含如下步骤:
形成第一多层结构,所述结构包含位于弛豫的SiGe合金层上方的拉伸应变的SiGe合金层和应变Si层,其中所述拉伸应变的SiGe合金含有比所述弛豫SiGe合金层更低的Ge含量;
使所述第一多层结构与在与所述弛豫SiGe合金层相反的表面上的第二多层结构的绝缘层键合,其中键合界面位于所述拉伸应变SiGe合金层和所述绝缘层之间;
去除所述弛豫SiGe合金层,露出所述应变Si层的表面。
25.如权利要求24所述的方法,其特征在于,还包含在所述应变Si层的所述露出表面上形成至少一个场效应晶体管。
CNB2005100794562A 2004-07-01 2005-06-23 在拉伸应变绝缘体上SiGe上的应变SiMOSFET Active CN100461446C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/883,443 US7217949B2 (en) 2004-07-01 2004-07-01 Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US10/883,443 2004-07-01

Publications (2)

Publication Number Publication Date
CN1716629A CN1716629A (zh) 2006-01-04
CN100461446C true CN100461446C (zh) 2009-02-11

Family

ID=35512995

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100794562A Active CN100461446C (zh) 2004-07-01 2005-06-23 在拉伸应变绝缘体上SiGe上的应变SiMOSFET

Country Status (4)

Country Link
US (4) US7217949B2 (zh)
JP (1) JP4959153B2 (zh)
CN (1) CN100461446C (zh)
TW (1) TWI344209B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
US7202124B2 (en) * 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
FR2892733B1 (fr) * 2005-10-28 2008-02-01 Soitec Silicon On Insulator Relaxation de couches
JP5243256B2 (ja) * 2005-11-01 2013-07-24 マサチューセッツ インスティテュート オブ テクノロジー モノリシックに集積化された半導体材料およびデバイス
WO2007067589A2 (en) * 2005-12-05 2007-06-14 Massachusetts Institute Of Technology Insulated gate devices and method of making same
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
US8063397B2 (en) * 2006-06-28 2011-11-22 Massachusetts Institute Of Technology Semiconductor light-emitting structure and graded-composition substrate providing yellow-green light emission
US7648853B2 (en) * 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
EP1933384B1 (en) * 2006-12-15 2013-02-13 Soitec Semiconductor heterostructure
DE102007004861B4 (de) * 2007-01-31 2010-02-18 Advanced Micro Devices, Inc., Sunnyvale Transistor mit eingebettetem Si/Ge-Material auf einem verspannten Halbleiter-auf-Isolator-Substrat und Verfahren zum Herstellen des Transistors
US7968382B2 (en) 2007-02-02 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7550337B2 (en) * 2007-06-07 2009-06-23 International Business Machines Corporation Dual gate dielectric SRAM
CN101246819B (zh) * 2007-11-13 2010-11-10 清华大学 应变锗薄膜的制备方法
US20090152590A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Method and structure for semiconductor devices with silicon-germanium deposits
US7524740B1 (en) 2008-04-24 2009-04-28 International Business Machines Corporation Localized strain relaxation for strained Si directly on insulator
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8193523B2 (en) 2009-12-30 2012-06-05 Intel Corporation Germanium-based quantum well devices
US8961815B2 (en) 2010-07-01 2015-02-24 Planar Solutions, Llc Composition for advanced node front-and back-end of line chemical mechanical polishing
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
US8618554B2 (en) * 2010-11-08 2013-12-31 International Business Machines Corporation Method to reduce ground-plane poisoning of extremely-thin SOI (ETSOI) layer with thin buried oxide
CN102347267B (zh) * 2011-10-24 2013-06-19 中国科学院上海微系统与信息技术研究所 一种利用超晶格结构材料制备的高质量sgoi及其制备方法
CN102437019B (zh) * 2011-11-16 2014-09-24 西安电子科技大学 基于机械弯曲台的SiN埋绝缘层上单轴应变SGOI晶圆的制作方法
FR2986369B1 (fr) * 2012-01-30 2016-12-02 Commissariat Energie Atomique Procede pour contraindre un motif mince et procede de fabrication de transistor integrant ledit procede
CN103258742B (zh) 2012-02-21 2015-11-25 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072130A1 (en) * 2000-08-16 2002-06-13 Zhi-Yuan Cheng Process for producing semiconductor article using graded expital growth
CN1359158A (zh) * 2001-12-29 2002-07-17 中国科学院上海微系统与信息技术研究所 一种类似绝缘层上硅结构的材料及制备方法
US20020168864A1 (en) * 2001-04-04 2002-11-14 Zhiyuan Cheng Method for semiconductor device fabrication
CN1416159A (zh) * 2001-10-30 2003-05-07 夏普公司 硅锗/绝缘体上外延硅互补金属氧化物半导体及其制造方法

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
JPH01162362A (ja) 1987-12-18 1989-06-26 Fujitsu Ltd 半導体装置の製造方法
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (de) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Herstellungsverfahren für bauelemente auf soi-substrat
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
WO1996036297A1 (fr) * 1995-05-19 1996-11-21 Kanji Inoue Instrument de transplantation, procede pour le courber et procede pour le transplanter
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6074903A (en) 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
JP3607194B2 (ja) * 1999-11-26 2005-01-05 株式会社東芝 半導体装置、半導体装置の製造方法、及び半導体基板
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US6521369B1 (en) * 2000-11-16 2003-02-18 Graftech Inc. Flooding-reducing fuel cell electrode
US20020067361A1 (en) 2000-12-02 2002-06-06 Jens Rennert Screen raster generation via programmable lookup tables
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US20020167048A1 (en) * 2001-05-14 2002-11-14 Tweet Douglas J. Enhanced mobility NMOS and PMOS transistors using strained Si/SiGe layers on silicon-on-insulator substrates
US6855436B2 (en) * 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
JP2003017670A (ja) * 2001-06-29 2003-01-17 Mitsubishi Materials Silicon Corp 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
GB0124812D0 (en) * 2001-10-16 2001-12-05 Polymer Lab Ltd Material
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6805962B2 (en) * 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6793731B2 (en) * 2002-03-13 2004-09-21 Sharp Laboratories Of America, Inc. Method for recrystallizing an amorphized silicon germanium film overlying silicon
JP2004014856A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
US6953736B2 (en) * 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
FR2842350B1 (fr) * 2002-07-09 2005-05-13 Procede de transfert d'une couche de materiau semiconducteur contraint
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6902991B2 (en) * 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US6982229B2 (en) * 2003-04-18 2006-01-03 Lsi Logic Corporation Ion recoil implantation and enhanced carrier mobility in CMOS device
US7026249B2 (en) * 2003-05-30 2006-04-11 International Business Machines Corporation SiGe lattice engineering using a combination of oxidation, thinning and epitaxial regrowth
US7169226B2 (en) * 2003-07-01 2007-01-30 International Business Machines Corporation Defect reduction by oxidation of silicon
US7029980B2 (en) * 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
US7161169B2 (en) * 2004-01-07 2007-01-09 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US6992025B2 (en) * 2004-01-12 2006-01-31 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072130A1 (en) * 2000-08-16 2002-06-13 Zhi-Yuan Cheng Process for producing semiconductor article using graded expital growth
US20020168864A1 (en) * 2001-04-04 2002-11-14 Zhiyuan Cheng Method for semiconductor device fabrication
CN1416159A (zh) * 2001-10-30 2003-05-07 夏普公司 硅锗/绝缘体上外延硅互补金属氧化物半导体及其制造方法
CN1359158A (zh) * 2001-12-29 2002-07-17 中国科学院上海微系统与信息技术研究所 一种类似绝缘层上硅结构的材料及制备方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Relaxed SiGe-on-insulator fabricated via wafer bonding and etch back. Taras chi G, Langdo T A, Currier M T et al.J. Vac. Sci. Technol.B,Vol.20 No.2. 2002
Relaxed SiGe-on-insulator fabricated via wafer bonding and etch back. Taras chi G, Langdo T A, Currier M T et al.J. Vac. Sci. Technol.B,Vol.20 No.2. 2002 *

Also Published As

Publication number Publication date
CN1716629A (zh) 2006-01-04
US20070155130A1 (en) 2007-07-05
US20060001088A1 (en) 2006-01-05
US7217949B2 (en) 2007-05-15
TWI344209B (en) 2011-06-21
US8017499B2 (en) 2011-09-13
JP2006019725A (ja) 2006-01-19
US20080220588A1 (en) 2008-09-11
US7485518B2 (en) 2009-02-03
US7507989B2 (en) 2009-03-24
US20080042166A1 (en) 2008-02-21
TW200618277A (en) 2006-06-01
JP4959153B2 (ja) 2012-06-20

Similar Documents

Publication Publication Date Title
CN100461446C (zh) 在拉伸应变绝缘体上SiGe上的应变SiMOSFET
CA2501580C (en) Method of forming strained silicon on insulator (ssoi) and structures formed thereby
JP4239203B2 (ja) 半導体装置とその製造方法
US6893936B1 (en) Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
JP5178999B2 (ja) ウェハ接合によって製造される半導体−誘電体−半導体デバイス構造体
US10930576B2 (en) Gallium-nitride based devices implementing an engineered substrate structure
CN103311185B (zh) 制造混合高k/金属栅堆叠件的方法
US10755986B2 (en) Aluminum nitride based Silicon-on-Insulator substrate structure
US20070069300A1 (en) Planar ultra-thin semiconductor-on-insulator channel mosfet with embedded source/drain
JP2013506289A (ja) 酸素拡散バリア層を有する半導体デバイスおよびそれを製造するための方法
US7319258B2 (en) Semiconductor-on-insulator chip with&lt;100&gt;-oriented transistors
US20080283918A1 (en) Ultra Thin Channel (UTC) MOSFET Structure Formed on BOX Regions Having Different Depths and Different Thicknesses Beneath the UTC and SourceDrain Regions and Method of Manufacture Thereof
KR20020066191A (ko) Mos 전계 효과 트랜지스터
US7316943B2 (en) Method for manufacturing semiconductor apparatus having drain/source on insulator
US9634103B2 (en) CMOS in situ doped flow with independently tunable spacer thickness
JP2002299590A (ja) 半導体基板の製造方法及び半導体装置の製造方法
JP2006295131A (ja) 半導体装置およびその製造方法
JP2006228958A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171130

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171130

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right