CN100415933C - 通过优化电磁能的吸收加热半导体晶片的系统和方法 - Google Patents

通过优化电磁能的吸收加热半导体晶片的系统和方法 Download PDF

Info

Publication number
CN100415933C
CN100415933C CNB028185498A CN02818549A CN100415933C CN 100415933 C CN100415933 C CN 100415933C CN B028185498 A CNB028185498 A CN B028185498A CN 02818549 A CN02818549 A CN 02818549A CN 100415933 C CN100415933 C CN 100415933C
Authority
CN
China
Prior art keywords
laser beam
semiconducter substrate
substrate
luminous energy
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028185498A
Other languages
English (en)
Other versions
CN1556910A (zh
Inventor
保罗·贾尼斯·蒂曼斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of CN1556910A publication Critical patent/CN1556910A/zh
Application granted granted Critical
Publication of CN100415933C publication Critical patent/CN100415933C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/14Arrangements of heating devices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/12Reflex reflectors
    • G02B5/122Reflex reflectors cube corner, trihedral or triple reflector type
    • G02B5/124Reflex reflectors cube corner, trihedral or triple reflector type plural reflecting elements forming part of a unitary plate or sheet

Abstract

一种用于热处理半导体晶片(14)的设备,该设备包括加热设备(22),加热设备(22)包含向晶片(14)上发射光能的一组线性灯(24)。线性灯(24)可以多种设计被放置。按照本发明,被用于调节光源的总辐照度分布的调节设备(40)被包括在加热设备(22)中。例如,调节设备(40)可以是灯或激光器。

Description

通过优化电磁能的吸收加热半导体晶片的系统和方法
相关申请
本申请书是2000年12月21日提交的序号为09/747,522的美国在先申请的部分延续。
技术领域
本发明通常涉及一种均匀和有效地加热半导体晶片的设备和方法。如本发明被处理的晶片被至少部分地通过光能加热。本发明涉及优化入射角、偏振面和加热辐射的波长,以增加晶片的吸收率并减少晶片表面的光学性质的偏差作用。
更具体地说,本发明涉及在热处理室中放置灯以加热物体,例如半导体晶片。灯被设计以使由灯发射的光能以优化晶片吸收的入射角接触晶片。替代地,或者除了优化入射角之外,由灯发射的光能也可以被设计以使光能在也优化吸收的偏振面内接触晶片。最后,本发明也涉及使用多个波长的光接触晶片以使至少某些波长被晶片有效地吸收。
背景技术
本文所用的热处理室指一种加热例如半导体晶片之类的物体的设备。该设备通常包括用以保持半导体晶片的衬底座和例如多个灯之类的发射用以加热晶片的热能的能源。在热处理过程中,半导体晶片被在受控条件下按照预置温度状况加热。为了监测热处理过程中半导体晶片的温度,热处理室通常也包括温度传感器,例如高温计,该温度传感器检测选定波段的由半导体晶片所发出的辐射。通过检测由晶片所发出的热辐射,可以在合理精度的情况下计算晶片的温度。
在另一实施方式中,取代或除了使用辐射传感器,热处理室也可以包括用以监测晶片温度的热电偶。热电偶通过直接接触测量物体的温度。
许多半导体加热方法要求晶片被加热至高温,因此当晶片被制成器件时可以发生多种化学和物理反应。在作为一种处理类型的快速热处理过程中,半导体晶片在通常小于几分钟的时间内通常被一个光阵列加热至例如约400℃至约1,200℃范围内的温度。在这些方法中,一个主要目标是尽可能均匀地加热晶片。
但是,在过去已经在能够在整个晶片保持恒温和在能够控制晶片被加热的速度方面遇到问题。如果晶片被不均匀地加热,可能在晶片中产生各种有害的应力。不能均匀地加热晶片也限制在晶片上均匀沉积薄膜、均匀地退火晶片上的薄膜的能力,并且限制在晶片上执行各种其它化学和物理方法的能力。
过去经历的一些问题与半导体晶片经常涂有影响表面的反射率和吸收率的材料薄膜的事实有关。举例说来,在不同晶片之间可能有偏差,并且由于在半导体器件制造程序过程中被产生在晶片上的图案,在单个晶片内也可能存在偏差。当通过电磁辐射照射晶片时,这些光学性质的偏差导致晶片吸收能量的能力的偏差和由此导致的所达到温度的偏差。这可以降低热处理的可重复性,并且也可以降低沿任何给定晶片的均匀性。例如,具有涂有不同材料的不同区域的晶片将在这些区域中具有不同的能量吸收特性。
因此,目前存在一种能够更有效地加热半导体晶片并能够均匀地加热半导体晶片的改进的热处理室和方法的需要。
发明内容
本发明通常涉及多种加热半导体晶片的方法。具体说来,本发明涉及设计发射光能至晶片上的光源以优化晶片的能量吸收。通过改变光能接触晶片的入射角、利用多个波长的光、和设计光能使其以特定偏振状态接触晶片,实施本发明。
举例说来,在本发明的一种实施方式中,该方法包括在处理室中放置半导体晶片的步骤。光能被导向晶片以加热晶片。光能以大于0°的入射角接触晶片。具体说来,入射角大于10°,而更具体地说是从大约40°至约85°。
该方法还包括在光能接触半导体晶片之前偏振光能的步骤。具体说来,光能被偏振以使光能以被偏振状态接触半导体晶片。可以使用任何适当的偏振设备偏振光。例如在一种实施方式中,可以使用产生第一p偏振光能束和第二p偏振光能束的一种光束分离设备。然后第一和第二p偏振光能束被导向半导体晶片。在本发明的另一替代实施方式中,光能被利用一种线栅偏振设备偏振。
如本发明所使用的光能可以从激光器或从非相干光源发射。当使用非相干光源,例如弧光灯或钨卤灯时,光可以在被偏振之前被校准。
在本发明的另一实施方式中,该方法包括在处理室中放置半导体晶片和将激光束从至少第一激光器与第二激光器导向半导体晶片的步骤。第一激光器发射第一波长范围的光,而第二激光器发射第二波长范围的光。为了更均匀和有效地加热晶片,第一波长范围不同于第二波长范围。
除了使用不同波长范围的激光束之外,激光束可以不同的入射角接触晶片。具体说来,各光束应该以大于10°的入射角,特别是以从约40°至约85°的入射角接触半导体晶片。当使用激光束加热半导体晶片时,激光束可以被设计为以特定状态,例如p偏振状态冲击晶片。
在本发明的一种具体实施方式中,该实施方式尤其非常适合于实施离子注入退火方法,本发明的方法包括在热处理室中放置半导体晶片。然后脉冲激光束被导向半导体晶片。该脉冲激光束被设计以至少10°的入射角和例如p偏振状态的特定状态冲击晶片。
如本发明设计的光能源可以单独被用于加热晶片或者可以连同其它能源被使用。举例说来,本发明的光能源可以连同其它光能源和/或连同基座板(susceptor plate)被使用。
下文更详细的说明本发明的其它特征和方面。
附图说明
在本说明书的其余部分,向本领域的技术人员参照附图更详细地说明本发明的全面和可实现的公开内容,包括它的最佳实施方式,其中:
图1是可以如本发明被使用的热处理室的一种实施方式的剖视图。
图2的平面视图表示如本发明的被定位在半导体晶片之上并与晶片具有大于0°的入射角的多个灯。
图3的指示图说明本申请书中所用的几个术语。
图4的图表表示具有二氧化硅底涂层和多晶硅表面涂层的半导体晶片的光谱吸收率。表示45°的入射角的p偏振辐射、s偏振辐射和未偏振辐射的曲线。
图5的图表表示与图4相同结构的光谱吸收率。但是,在本图中,曲线是表示不同入射角的p偏振辐射。
图6的侧视图表示以大于0°的入射角发射激光束至半导体晶片上的激光器。
图7(a)的侧视图表示以不同入射角发射激光束至半导体晶片上的两个不同激光器。
图7(b)的侧视图表示被分为以两不同入射角接触半导体晶片的两个不同光束的激光束。
图8的侧视图表示非相干光源,其中有该光源发出的光被校准并且随后被偏振以大于0°的入射角接触晶片。
图9是图8所示的方法的替代实施方式,其中偏振设备将光分为两个不同p偏振辐射光束。
在本说明书和附图中重复使用标号是意图代表本发明的相同或类似特征或元件。
具体实施方式
本领域的技术人员将理解本论述仅是实施例的说明,而不是意图限制本发明的更广泛的方面,该更广泛的方面在实施例中被具体化。
作为集成电路制造方法的一部分,热处理设备使用例如强光之类的热能加热半导体晶片。暴露至光能导致半导体晶片的温度快速上升并允许处理时间相对短。在快速热处理系统中,重要的是以非常均匀和可控的方式利用非常高强度的光辐射晶片。如上所述,使用目前设备的困难在于很难达到对于辐射光的强度和均匀加热晶片的能力的要求。
举例说来,半导体晶片经常涂有影响表面的反射率和吸收率的材料。这些包含在晶片上的涂层可以导致加热晶片的低效率并且也可以导致晶片内的温度偏差。例如,具有涂有不同材料的区域的晶片将在这些区域中具有不同的能量吸收特性。
参照图3,所示简图说明本申请书所用的一些定义和术语。如图所示,入射角θ为晶片表面法线与加热辐射的传播方向之间的角。入射面是包含晶片表面的法线和晶片表面上的能量入射线的平面。p偏振面是入射辐射的电场矢量位于入射面中的偏振状态。该状态也称为横向磁(TM)偏振。与p偏振状态呈直角的偏振,其中电场矢量垂直于入射面,被称为s偏振状态或横向电(TE)偏振状态。
可以如本发明被使用的灯可以根据具体应用改变。例如,在一种实施方式中,可以使用激光器。激光器发射非常窄波长范围内的光。除了激光器,也可以在本发明的系统中使用多种非相干光源。与激光器相反,非相干光源发射宽波长范围内的光。本发明可以使用的非相干光源包括弧光灯、钨卤灯等。
如本发明设计的灯可以单独被用于加热晶片或者可以连同其它热能源被使用。举例说来,本发明的灯可以连同通过电阻加热晶片的基座或热板被使用。在另一实施方式中,如本发明所设计的灯可以连同其它未被特殊设计的灯被使用。
参照图1,表示通常如本发明所制成的系统10的一种实施方式。在本实施方式中,系统包括多个如本发明所设计的灯40和如传统技术那样被放置在半导体晶片14上方的多个其它灯24。
如图所示,系统10包括用于接收例如晶片14之类的衬底的处理室12以执行各种方法。晶片14可以由半导体材料,例如硅制成。如图所示,晶片14被定位于由例如石英之类的热绝缘材料制成的衬底座15之上。处理室12被设计为以非常快的速度并且在被仔细控制条件下加热晶片14。处理室12可以由多种材料制成,包括金属和陶瓷。例如,处理室12可以由不锈钢制成或可以是由例如石英制成的冷壁室。
当处理室12由导热材料制成时,优选地该室包括冷却系统。例如,如图1所示,处理室12包括被环绕室周边的冷却管16。冷却管16被用于循环例如水之类的冷却液,这被用于以恒温保持室12的壁。
处理室12也可以包括进气口18和出气口20以将气体引入室中和/或保持处理室在预定气压范围内。例如,气体可以通过进气口18被导入处理室12以与晶片14反应。一旦被处理,就可以利用出气口20将气体从处理室中排出。
此外,可以通过进气口18将惰性气体供给处理室12以防止在室中发生任何有害或不需要的副反应。在另一实施方式中,进气口18和出气口20可以被用于增压处理室12。需要时也可以利用出气口20或位于晶片水平之下的附加更大的出口,在处理室12中产生真空。
在处理过程中,在一种实施方式中,衬底座15可以利用晶片旋转装置21被用于旋转晶片14。旋转晶片促进沿晶片表面的更大的温度均匀性并促进晶片14与被导入处理室的任何气体之间的增强接触。但是,应该理解除了晶片处理室12也被用于处理光学部件、薄膜、纤维、带和具有任何特定形状的其它衬底。
通常包括与处理室12联系的热源或加热设备22用以在处理过程中加热晶片14。加热设备22包括多个线性灯24,例如钨卤灯。这里所用的“线性灯”指被设计为通过灯的最长尺寸发射它的大部分能量的灯。例如,在大部分实施方式中,线性灯通过灯的侧面发射它的大部分能量。如图1所示,灯24被水平排列在晶片14上。但是,应该理解灯24可以被放置在任何特定位置,例如仅低于晶片或在晶片之上和之下。此外,如果需要可以在系统10中包括附加灯。
除线性灯之外,本发明的系统也可以使用垂直定向灯。这些灯被定位以使灯的端部面对晶片。
如图所示,灯24被装备有可以被用于增加或降低由任何灯所发射的光能的分级功率控制器25。
为了辅助将由灯24所发射的光能导向晶片14,灯可以被与反射器或一组反射器联合。例如,如图1所示,加热设备22包括被定位在线性灯24之上的反射板36。反射板36可以由任何适合反射光能的材料制成并且可以具有任何能辅助将光能导向晶片14的适当形状。
除了灯24,如图1所示,系统包括如本发明的光源或灯40。如图所示,灯40被相对于晶片14以一角度定位以优化晶片的光能吸收。如将在下文更详细说明的那样,除了调整入射角之外,由灯40所发射的辐射也可以被设计为在或接近p偏振面内冲击晶片。
如图1所示,如本发明所设计的灯40可以连同灯28被使用。另外,如图2所示,晶片14可以单独通过灯40被加热。特别是,如图2所示,灯40被以所需角度定位在晶片14周围。
在本发明的另一实施方式中,灯40可以被连同被放置在晶片附近的基座使用。基座可以包括用以加热晶片的电阻加热器。
参照图1,为了监测加热过程中晶片14的温度,在本实施方式中,热处理室12通常包括多个辐射传感器27。辐射传感器27包括多个依次与多个相应光检测器30联系的光学纤维或光管28。光学纤维28被设计为以特定波长接收由晶片14所发射的热能。然后被检测辐射的数量被传送至光检测器30,光检测器30产生可用电压信号,以确定可以部分根据Planck定律计算的晶片的温度。在一种实施方式中,每个与光检测器30结合的光学纤维28包含高温计。在另一实施方式中,光学纤维28被发送至单路但多路辐射传感器。
通常地,热处理室12可以包含一个或多个辐射传感器。在优选实施方式中,如图1所示,热处理室12包含在不同位置测量晶片的温度的多个辐射传感器。知道晶片不同位置的温度那么可以被用于控制被应用于晶片的热量,如将在下文更详细说明的那样。被应用于晶片的不同区域的热量也可以被以一种开环方式控制。在本设计中不同加热区域之间的比率可以在人工优化之后被预先确定。
系统10还包括将灯24从热处理室分离的窗32。窗32起到分离灯24与晶片14的的作用并防止热处理室的污染。如图1所示的窗32可以是被定位在室12与热源22之间的窗。
除了使用辐射传感器之外,在本发明的系统中也可以使用其它温度传感器。例如,一个或更多的热电偶可以被结合入系统中以在单个位置或在多个位置监测晶片的温度。热电偶可以被放置直接与晶片接触或可以被放置靠近晶片,从该热电偶可以推断温度。
系统10还包括可以是例如微处理器的系统控制器50。控制器50接收来自光检测器30的代表在多个位置抽样的辐射量的电压信号。基于所接受的信号,控制器50被设计为计算晶片14的不同位置的温度。
如图1所示的系统控制器50也可以与灯功率控制器25联系。在这种设置中,控制器50可以确定晶片14的温度,并基于此信息控制由灯24和/或灯40所发射的热能的数量。在这种方式中,可以根据反应器12中的条件做出瞬时调节以在仔细控制的极限内处理晶片14。
在一种实施方式中,控制器50也可以被用于自动控制系统内的其它元件。例如,控制器50可以被用于控制气体通过进气口18进入处理室12的流速。如图所示,控制器50还可以被用于控制晶片在处理室中被旋转的速度。
如上所述,本发明通常涉及设计热处理室中的多种光源以优化由被加热晶片的光能吸收。本发明的意图是最小化在过去当处理具有不同性质和/或被涂有不同材料的晶片时所经历的问题。通常,本发明涉及改变光源的入射角以最大化吸收,将由光源所发射的光设计为处于p偏振状态或实质上处于p偏振状态以优化吸收和/或使用几个不同波长以保证至少一些光能被晶片有效地吸收。
图4和5意图说明本发明所涉及的一些有关概念。图4表示涂有两层涂层的半导体晶片的光谱吸收率。该两层涂层包括二氧化硅底涂层和多晶硅表面涂层。具体说来,二氧化硅底涂层为0.5微米厚,而多晶硅表面涂层为0.2微米厚。图4所示的图表表示吸收如何随波长而改变。此外,该图表包括表示三种情况下以45°的入射角接触晶片的光能的吸收率的三条曲线,这三种情况是光能处于(1)p偏振状态、(2)s偏振状态和(3)未偏振状态。如图所示,未偏振状态成为s偏振和p偏振状态的平均。
如图4所示,通过使晶片与p偏振状态的光能接触光谱吸收率的偏差量被减小。此外,在任何给定波长下p偏振光的吸收率较大,这表明更好和更有效的功率耦合(power coupling)。
图5表示被用于产生图4所示结果的相同结构的光谱吸收率。但是,在图5所示的图表中,所用的曲线代表p偏振状态的光能。在本图表中,入射角在法线(0°)、45°和60°之间变动。
如图所示,随着入射角从0°上升至60°,光谱吸收率的偏差被减小。此外,根据入射角不同可以在不同波长处看到增加的吸收率。
按照本发明,图4和5表示如果(1)使用多个波长光、(2)光被设计为p偏振状态和(3)入射角被增加至大于0°,可以被实现的各种收益。此外,图5也表示以多于一个的入射角安装灯以进一步优化吸收的潜在收益。
参照图6至9,现在将详细讨论本发明的多种应用。具体说来,图6和7涉及加热半导体晶片的激光器的使用,而图8和9涉及应用本发明的概念至非相干光源。
参照图6,表示激光器40以入射角θ发射激光束60至晶片14上。通常,激光器发射较窄波长范围内的光。激光器可以是提供高强度光的非常有效的加热设备。但是,由于激光辐射的高单色性质,晶片仅有有效的的非常小的功率吸收光谱平均值,这使得激光器加热对半导体晶片单个加热循环过程和不同晶片的处理过程中的吸收功率波动特别敏感。
如图6所示,通过改变激光束60的入射角可以最小化吸收波动。如上所述,大部分表面的反射率是入射角的函数。因此,改变激光接触晶片的入射角可以增加吸收。
对大部分应用而言,当处理半导体晶片时,当入射角大于10°,例如从大约40°至约85°并且更具体地说从大约60°至约85°时,发生吸收优化。特别是,接近临界角,即Brewster角时硅的反射率非常低。对硅而言,Brewster角为大约75°。
当使用激光器时的具体优点是不仅辐射高度定向,而且许多类型的激光自然地是平面偏振的。因此,当调整入射角时,激光束也可以被放置在相对于晶片表面的p偏振面内。如以上图4和5所示,当将光放置在p偏振状态时可以发生优化的至晶片的光耦合。
但是,应该理解除了将光放置在p偏振状态之外,在其它实施方式中,可以优选将光放置在其它设计中。例如,在另一实施方式中,光可以被放置在不是p偏振状态,而是接近p偏振状态。在另一实施方式中,椭圆偏振光可以被导向晶片。椭圆偏振光指在空间任何一点的电场矢量在垂直于传播方向的平面内描绘一椭圆的电磁波的偏振。
用于任何应用的特定光设计将根据多种因素而定。例如,被加热的表面的形貌可以在确定应该如何设计接触晶片的光中起作用。举例说来,表面的槽、角和其它非光滑特征,可以影响晶片的吸收特性。在一种实施方式中,当处理具有三维表面的衬底时,可以限定最好地考虑了表面不平整性的平坦表面。一旦限定平坦表面,就可以选择平面度、入射角、和接触该表面的光波长。
可以使用多种方法以定向激光器,用来保证激光束60在p偏振面内或在某些其它合乎需要的设计中冲击晶片14。例如,为了将激光束调整为p偏振状态,激光器可以被旋转或者激光束可以利用镜和/或光学装置被操作。例如,在一种实施方式中,半波片可以被用于将激光束放置入被限定平面,例如p偏振面。
替代或除了调整入射角和将激光束放置在p偏振状态之外,可以在热处理室中使用几种不同类型的各自发射不同波长的光的激光器。例如,如图7(a)所示,第一激光器40和第二激光器140可以发射不同波长的光至晶片14上。
因此,当特定衬底在一激光器操作的波长下为高反射性时,以不同波长操作的第二激光器可以被用于加热晶片。由不同激光器产生的辐射在接触晶片之前可以被光结合(optically combined)。此外,来自不同激光器的几个光束可以照射晶片的选定区域。在另一实施方式中,几个光束在晶片被旋转时可以照射相同晶片半径。激光器可以被安装以照射晶片的前部和/或后部。并且,在一种实施方式中,晶片的前部可以特定波长或波长范围被加热,而晶片的后部可以由不同波长或波长范围的光加热。
在本发明的一种实施方式中,可以使用可调整激光器以加热晶片。例如,可以使用具有可调整波长设定的激光器用于在特定加热周期中或从加热周期至加热周期中调整波长。除了调整波长,本发明中所用的激光器也可以是可移动的以在处理过程中调整入射角。在这种方法中,随着被加热晶片的表面特性和性质改变可以在光源和晶片之间发生优化功率耦合。
除了使用以不同波长操作的激光器之外,如图7(a)所示,各激光器40和140的入射角可以不同以保证至少一个激光器将在加热过程中具有高度吸收。
可以利用图7(a)所示的多个激光器产生多个入射角,或者可以利用图7(b)所示的单个激光器实施。如图7(b)所示,激光器40发射通过光束分离设备70被分成两光束62和64的激光束60。在这种实施方式中,发射镜72然后被用于将激光束64以不同于激光束62的入射角的入射角导向晶片14上。但是,除了反射镜72之外,应该理解可以利用例如光学纤维、透镜、光管等将激光束导向晶片。
通常,在本发明中可以使用任何适当类型的激光器,包括连续波激光器和脉冲激光器。在一种实施方式中,使用激光二极管。激光二极管有效地将电转换为激光辐射并以高额定功率可用。例如,目前可以在市场上买到传送大于10瓦特的连续功率的高功率设备,它具有400nm和4000nm之间的发射波长。上述激光器可以结合重整被发射光束并将它导向晶片的光束传送光学器件。例如,激光器可以与光学纤维耦合以将光导向晶片的特定位置上。
在本发明的一种具体实施方式中,已经发现脉冲激光器的使用可以提供多种优点。脉冲激光器间歇地产生大量能量。这种激光器可以特别适合用于退火方法中,尤其是离子注入损伤退火方法。认为脉冲激光器可提供受控损伤退火以产生具有高质量的薄膜。
如上所述,激光器发射高方向性光。为了使用激光器加热半导体晶片的整个表面,可以使用多种技术。例如,在一种实施方式中,多个激光器可以被定位在热处理室内以接触晶片的不同区域。如果需要,由激光器所发射的激光束也可以利用光学器件整形,只要整形激光束不妨碍这里所述的优化技术。此外,激光束可以扫描晶片的整个表面或选定区域。
除了设计激光束,本发明也适用于由例如钨卤灯或弧光灯之类的非相干光源发射的光束。该光源可以发射连续光或脉冲光。非相干光源通常发射比激光器更宽的光谱范围的光能。因此,当使用非相干光源时由于更大的波长范围和通常更大的入射角范围,发生较小的功率耦合偏差。然而,本发明可以被用于进一步改进非相干光源与被加热晶片之间的功率耦合。
例如,在一种实施方式中,如本发明由非相干光源所发射的光可以被校准、偏振和设计为以大于0°、特别是大于10°的入射角冲击晶片。
例如,参照图8,表示非相干光源40发射光能至晶片14上。举例说来,非相干光源40可以是弧光灯、钨卤灯等。如图所示,由灯40所发射的光被利用反射器80校准以使大部分光以特定入射角冲击晶片14。
反射器80围绕光源40并且可以具有多种形状。但是,通常所示的抛物线状将产生校准的输出光束。
除了使用反射器,多种其它设备可以被用于校准由灯40所发射的光。例如,一种替代实施方式,光学透镜可以被用于更好地将光导向晶片上。例如会聚透镜、柱面透镜或波带片(zone plate)可以被单独使用或结合反射器使用以校准光。
除了校准由灯40所发射的光,如图8所示,本发明的系统还可以包括偏振设备82。选择偏振设备82被选定以使偏振设备输出的光处于p偏振状态。由于这种设计,由灯40所发射的光能以所需入射角和以p偏振状态冲击晶片14,以优化晶片的吸收。
除了使光处于p偏振状态,偏振设备82也可以被用于使光处于接近p偏振状态或被用于产生所需的椭圆偏振光。
但是,许多如图8所示的偏振设备82可以较大地减少到达晶片的光能的数量。例如,一些偏振设备消除大约50%的由灯40所发射的光能。为了更有效地偏振由灯40所发射的光,在一种替代实施方式中,可以使用如图9所示的偏振光束分离设备84。偏振光束分离设备84接收由灯40所发射的被校准光并将该被校准光分为两光束90和92。设定偏振光束分离设备84以使光束90处于p偏振状态。如图所示,光束90以第一入射角接触晶片14。
另一方面,光束92被随后利用反射镜、光学器件、半波片或其它适当的光学装置86重新导向。具体说来,光束92被旋转、定向或其它操作并作为处于p偏振状态或某种其它所需设计的光束94以第二入射角重新导向晶片14上。光束90和94的入射角可以相同或者可以不同。
本发明可以使用多种不同偏振设备。例如,偏振光束分离设备84可以为一种线栅偏振镜、例如涂介电膜的设备的涂薄膜设备、立方光束分离器、或任何其它适当的设备。
参照图1,如上所述,本发明的系统可以包括控制器50。控制器50可以被用于监测晶片的温度并因此控制被发射至晶片上的光能的量。在本发明的一种实施方式中,控制器50可以被设置与一个或更多的传感器98联系。传感器98可以被用于检测由晶片所反射的辐射量。具体说来,传感器可以帮助估计所反射功率的量以调节被传送至晶片的输入功率。传感器98将特别适用于使用激光照明的系统,其中从所被反射光束的强度可以获得耦合的简单计算。可以在处理前利用低功率光束或者在处理过程中从实际光束获得该估值。
除改变被传送至晶片的功率量之外,从传感器所收集的信息也可以被用于改变接触晶片的光的波长和/或改变接触晶片的光的入射角。
传感器98可以是能够检测被反射光的任何适当器件。例如,传感器98可以是光检测器或热检测器。
在本发明的另一实施方式中,系统可包括被定位于热处理室中的反射器。该反射器可以被用于反射被从晶片反射回(back)至晶片上的光能。同样,这种反射器将特别适合用于使用激光束的系统中。可以被使用的反射器的例子是角隅棱镜后向反射器,该反射器可以将被反射光以相同的入射角从晶片发送回至晶片。通过将光反射回晶片上,在加热过程中另外的光能将被晶片吸收。
在本发明的另一实施方式中,本发明的技术和优点可以被用于加热晶片14以及如图1所示的无滑环(slip free ring)99。无滑环指一种完全围绕或至少实质上围绕晶片边缘并在处理过程中提供加热晶片边缘的另外能量的设备。无滑环通常被用于抵消在晶片边缘发生的热损。
如本发明,如图1所示,无滑环99可以按优化热耦合的方式被光设备40加热。举例说来,光设备40可以特定入射角和特定偏振状态反射光以优化被无滑环99所吸收的热能量。将根据制成无滑环的材料确定加热无滑环的光的具体入射角、光波长、和偏振状态。例如,无滑环可以由多种材料制成,这些材料包括硅、碳化硅、石墨、涂有石墨的碳化硅、石英、以及多种其它材料。
如本发明,无滑环99也可以被涂有多种材料以优化光吸收。例如,无滑环可以被涂有抗反射涂层。例如,在一种实施方式中,硅环可以被涂有二氧化硅或氮化硅。
在不偏离本发明的精神和范围的情况下,对本发明的这些和其它修改和变化可以被本领域的技术人员实施,本发明的精神和范围在权利要求书中更具体地提出。此外,应该理解各种实施方式的方面可以全部或部分地被互换。此外,本领域的技术人员将理解前面的说明仅是示例,并不是意图限制在所附权利要求书中进一步被说明的本发明。

Claims (49)

1. 一种加热半导体衬底的方法,该方法包括以下步骤:
将半导体衬底放置在处理室中;
将光能导向所述半导体衬底以加热所述半导体衬底,所述光能以大于0°的入射角接触所述半导体衬底;
其中所述光能在p偏振面中或接近所述p偏振面接触所述半导体衬底;并且
检测被反射离开所述半导体衬底的光能的量,并基于此信息改变所述光能的入射角或波长以改变被所述半导体衬底吸收的光能的量。
2. 如权利要求1的方法,其中所述光能由非相干光源发射。
3. 如权利要求1的方法,其中所述光能以大于10°的入射角接触所述半导体衬底。
4. 如权利要求1的方法,其中所述光能被偏振产生第一p偏振光能束和第二p偏振光能束,所述第一和第二p偏振光能束被导向上述半导体衬底上。
5. 如权利要求1的方法,还包括在使所述光能偏振之前校准光能的步骤。
6. 如权利要求5的方法,其中利用反射设备校准所述光能。
7. 如权利要求5的方法,其中利用光学透镜校准所述光能。
8. 如权利要求1的方法,其中利用线栅偏振设备使所述光能偏振。
9. 如权利要求1的方法,其中所述半导体衬底由所述光能结合其它能源加热。
10. 如权利要求9的方法,其中所述其它能源包括光能源。
11. 如权利要求1的方法,其中所述光能由弧光灯或钨卤灯发射。
12. 如权利要求1的方法,其中所述光能以从大约40°至约85°范围内的入射角接触所述半导体衬底。
13. 如权利要求1的方法,其中所述半导体衬底由所述光能结合电阻加热器加热。
14. 如权利要求1的方法,还包括将被反射离开所述半导体衬底的所述光能的任何部分重新导向所述半导体衬底上的步骤。
15. 如权利要求1的方法,其中导向半导体衬底的光能包括激光束。
16. 如权利要求15的方法,其中所述激光束相对于所述半导体的表面移动,使得所述激光束在所述衬底的表面上扫描。
17. 如权利要求15的方法,其中导向半导体衬底的激光束包括脉冲激光束。
18. 一种加热半导体衬底的方法,该方法包括以下步骤:
将半导体衬底放置在处理室中;
将激光束导向所述半导体衬底;
设计所述激光束以至少10°的入射角冲击所述衬底;
设计所述激光束冲击所述衬底以使所述激光束在p偏振面内冲击所述衬底;以及
检测被反射离开半导体衬底的激光束的量,并基于此信息改变激光束的设计以改变被半导体衬底吸收的光能的量。
19. 如权利要求18的方法,其中所述激光束冲击所述半导体衬底以实施离子注入退火方法。
20. 如权利要求18的方法,其中所述激光束以从大约40°至约85°范围内的入射角冲击所述衬底。
21. 如权利要求18的方法,其中,除所述激光束之外,所述半导体衬底还由其它能源加热。
22. 如权利要求18的方法,其中所述半导体衬底被除所述激光束之外的至少一个其它激光束接触,所述其它激光束以不同于所述激光束接触所述半导体衬底的入射角的入射角接触所述半导体衬底。
23. 如权利要求22的方法,其中所述其它激光束是脉冲激光束。
24. 如权利要求18的方法,其中所述半导体衬底被除所述激光束之外的至少一个其它激光束接触,所述其它激光束具有不同于所述激光束的波长范围的波长范围。
25. 如权利要求18的方法,还包括将被反射离开所述半导体衬底的所述激光束的任何部分重新导向所述半导体衬底上的步骤。
26. 如权利要求18的方法,其中除所述激光束之外,所述半导体衬底还由电阻加热器加热。
27. 如权利要求18的方法,其中导向所述半导体衬底的所述激光束是脉冲激光束。
28. 如权利要求18的方法,其中所述激光束相对于所述半导体衬底的表面移动,使得所述激光束在所述衬底的表面上扫描。
29. 如权利要求18的方法,其中通过改变传递到所述衬底的功率的量来改变所述激光束的设计。
30. 如权利要求18的方法,还包括用放置在所述衬底附近的基座加热所述半导体衬底的步骤。
31. 如权利要求18的方法,其中在处理所述半导体衬底期间,所述处理室被冷却系统冷却。
32. 如权利要求18的方法,其中所述处理室包括进气口和出气口,用于在处理期间将气体引入所述处理室。
33. 如权利要求18的方法,还包括在所述处理室中旋转所述半导体衬底的步骤。
34. 如权利要求18的方法,还包括在处理期间使用至少一个温度传感器检测所述半导体衬底的温度的步骤。
35. 如权利要求34的方法,其中所述处理室包括单个温度传感器,所述温度传感器包括辐射传感器。
36. 如权利要求34的方法,其中所述处理室包括多个温度传感器,所述温度传感器包括辐射传感器。
37. 如权利要求18的方法,其中由激光器发射所述激光束,所述激光器位于所述处理室之外,所述激光束通过窗口导入所述处理室中。
38. 如权利要求34的方法,其中所述温度传感器与控制器联系,所述控制器接收来自所述温度传感器的温度信息,从而控制导向所述半导体衬底的激光束。
39. 如权利要求18的方法,其中所述半导体衬底具有不平整表面,该不平整表面包括非光滑特征,其中所述激光束设计为冲击所述半导体衬底以考虑所述非光滑特征。
40. 如权利要求18的方法,其中在接触所述半导体衬底之前,所述激光束接触反射镜。
41. 如权利要求18的方法,其中在接触所述半导体衬底之前,所述激光束接触光学器件。
42. 如权利要求41的方法,其中所述光学器件对所述激光束进行整形。
43. 如权利要求41的方法,其中所述光学器件包括半波片。
44. 如权利要求42的方法,其中由激光二极管发射所述激光束。
45. 如权利要求18的方法,其中所述激光束冲击所述半导体衬底的上表面。
46. 如权利要求18的方法,其中由激光二极管发射所述激光束。
47. 如权利要求46的方法,其中所述激光束的波长为从大约400nm至大约4000nm。
48. 如权利要求18的方法,其中所述激光束的波长为从大约400nm至大约4000nm。
49. 如权利要求28的方法,其中所述激光束仅在所述半导体衬底的表面的选定区域上进行扫描。
CNB028185498A 2001-11-07 2002-11-05 通过优化电磁能的吸收加热半导体晶片的系统和方法 Expired - Lifetime CN100415933C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/040,272 2001-11-07
US10/040,272 US7015422B2 (en) 2000-12-21 2001-11-07 System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2008101304920A Division CN101350294B (zh) 2001-11-07 2002-11-05 通过优化电磁能的吸收加热半导体晶片的系统和方法

Publications (2)

Publication Number Publication Date
CN1556910A CN1556910A (zh) 2004-12-22
CN100415933C true CN100415933C (zh) 2008-09-03

Family

ID=21910085

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB028185498A Expired - Lifetime CN100415933C (zh) 2001-11-07 2002-11-05 通过优化电磁能的吸收加热半导体晶片的系统和方法
CN2008101304920A Expired - Lifetime CN101350294B (zh) 2001-11-07 2002-11-05 通过优化电磁能的吸收加热半导体晶片的系统和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008101304920A Expired - Lifetime CN101350294B (zh) 2001-11-07 2002-11-05 通过优化电磁能的吸收加热半导体晶片的系统和方法

Country Status (6)

Country Link
US (5) US7015422B2 (zh)
JP (1) JP4450624B2 (zh)
KR (1) KR100917501B1 (zh)
CN (2) CN100415933C (zh)
DE (1) DE10297368T5 (zh)
WO (1) WO2003040636A1 (zh)

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7118780B2 (en) * 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
TW552645B (en) 2001-08-03 2003-09-11 Semiconductor Energy Lab Laser irradiating device, laser irradiating method and manufacturing method of semiconductor device
JP4397571B2 (ja) 2001-09-25 2010-01-13 株式会社半導体エネルギー研究所 レーザ照射方法およびレーザ照射装置、並びに半導体装置の作製方法
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7223660B2 (en) * 2002-07-31 2007-05-29 Intel Corporation Flash assisted annealing
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US7259082B2 (en) * 2002-10-03 2007-08-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7405114B2 (en) * 2002-10-16 2008-07-29 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus and method of manufacturing semiconductor device
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US7154066B2 (en) * 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US20050189329A1 (en) * 2003-09-02 2005-09-01 Somit Talwar Laser thermal processing with laser diode radiation
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7098155B2 (en) * 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
WO2005036627A1 (en) * 2003-10-03 2005-04-21 Applied Materials, Inc. Absorber layer for dynamic surface annealing processing
TWI297521B (en) * 2004-01-22 2008-06-01 Ultratech Inc Laser thermal annealing of lightly doped silicon substrates
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
US7486705B2 (en) 2004-03-31 2009-02-03 Imra America, Inc. Femtosecond laser processing system with process parameters, controls and feedback
US7491909B2 (en) * 2004-03-31 2009-02-17 Imra America, Inc. Pulsed laser processing with controlled thermal and physical alterations
US7885311B2 (en) * 2007-03-27 2011-02-08 Imra America, Inc. Beam stabilized fiber laser
FR2878185B1 (fr) * 2004-11-22 2008-11-07 Sidel Sas Procede de fabrication de recipients comprenant une etape de chauffe au moyen d'un faisceau de rayonnement electromagnetique coherent
US7425296B2 (en) * 2004-12-03 2008-09-16 Pressco Technology Inc. Method and system for wavelength specific thermal irradiation and treatment
US10857722B2 (en) * 2004-12-03 2020-12-08 Pressco Ip Llc Method and system for laser-based, wavelength specific infrared irradiation treatment
US7642205B2 (en) 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7279721B2 (en) 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US7176405B2 (en) * 2005-04-22 2007-02-13 Ultratech, Inc. Heat shield for thermal processing
WO2007005489A2 (en) * 2005-07-05 2007-01-11 Mattson Technology, Inc. Method and system for determining optical properties of semiconductor wafers
JP2007110064A (ja) * 2005-09-14 2007-04-26 Ishikawajima Harima Heavy Ind Co Ltd レーザアニール方法及び装置
US20080173620A1 (en) * 2005-09-26 2008-07-24 Ultratech, Inc. Apparatuses and methods for irradiating a substrate to avoid substrate edge damage
US7494272B2 (en) * 2006-06-27 2009-02-24 Applied Materials, Inc. Dynamic surface annealing using addressable laser array with pyrometry feedback
US7543981B2 (en) * 2006-06-29 2009-06-09 Mattson Technology, Inc. Methods for determining wafer temperature
US8513626B2 (en) * 2007-01-12 2013-08-20 Applied Materials, Inc. Method and apparatus for reducing patterning effects on a substrate during radiation-based heating
FR2913210B1 (fr) * 2007-03-02 2009-05-29 Sidel Participations Perfectionnements a la chauffe des matieres plastiques par rayonnement infrarouge
FR2917005B1 (fr) * 2007-06-11 2009-08-28 Sidel Participations Installation de chauffage des corps de preformes pour le soufflage de recipients
US20090114630A1 (en) * 2007-11-05 2009-05-07 Hawryluk Andrew M Minimization of surface reflectivity variations
US7976216B2 (en) 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US7947599B2 (en) * 2008-01-23 2011-05-24 International Business Machines Corporation Laser annealing for 3-D chip integration
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置
US8048053B2 (en) * 2008-04-14 2011-11-01 The Procter & Gamble Company Tampon having an auxiliary patch
US8319149B2 (en) * 2008-04-16 2012-11-27 Applied Materials, Inc. Radiant anneal throughput optimization and thermal history minimization by interlacing
KR101749044B1 (ko) * 2008-05-02 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US7985945B2 (en) * 2008-05-09 2011-07-26 Applied Materials, Inc. Method for reducing stray light in a rapid thermal processing chamber by polarization
TWI384334B (zh) * 2008-05-13 2013-02-01 Macronix Int Co Ltd 烘烤裝置、烘烤的方法及縮小間隙的方法
US8367981B2 (en) * 2008-05-15 2013-02-05 Macronix International Co., Ltd. Baking apparatus, baking method and method of reducing gap width
US8452166B2 (en) * 2008-07-01 2013-05-28 Applied Materials, Inc. Apparatus and method for measuring radiation energy during thermal processing
JP5552276B2 (ja) * 2008-08-01 2014-07-16 株式会社半導体エネルギー研究所 Soi基板の作製方法
WO2010038674A1 (ja) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 基板の異常載置状態の検知方法、基板処理方法、コンピュータ読み取り可能な記憶媒体および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE112010000782B4 (de) * 2009-01-28 2013-09-19 Ulvac, Inc. Temperaturabtasteinrichtung und Aufheizeinrichtung
JP2010194685A (ja) * 2009-02-26 2010-09-09 Tokyo Electron Ltd 作業台
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
US20110177665A1 (en) * 2010-01-21 2011-07-21 Chan-Lon Yang Thermal process
CN102142365A (zh) * 2010-01-28 2011-08-03 联华电子股份有限公司 热处理制作工艺
TWI543264B (zh) * 2010-03-31 2016-07-21 應用材料股份有限公司 雷射光束定位系統
JP2013542549A (ja) * 2010-05-07 2013-11-21 プレスコ アイピー エルエルシー コーナキューブによる照射制御
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101193351B1 (ko) * 2011-07-11 2012-10-19 삼성전기주식회사 소성로
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9903596B2 (en) * 2011-07-29 2018-02-27 Bsh Home Appliances Corporation Broiler shield for a residential oven and residential oven incorporating same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
KR101326108B1 (ko) * 2012-03-09 2013-11-06 에이피시스템 주식회사 히터 블럭 및 이를 포함하는 열처리 장치
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
KR102033200B1 (ko) * 2012-05-30 2019-10-16 어플라이드 머티어리얼스, 인코포레이티드 급속 열 처리를 위한 장치 및 방법
SG10201503478UA (en) 2012-06-11 2015-06-29 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9261406B1 (en) 2012-08-27 2016-02-16 Nlight Photonics Corporation Pyrometer process temperature measurement for high power light sources
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5920188B2 (ja) * 2012-11-26 2016-05-18 信越半導体株式会社 加熱装置
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
CN104078339B (zh) * 2013-03-26 2017-08-29 上海微电子装备有限公司 一种激光退火装置和方法
DE102013105959B4 (de) * 2013-06-07 2019-06-19 Heraeus Noblelight Gmbh Betriebsverfahren und Vorrichtung zur Bestrahlung eines Substrats
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
JP6461168B2 (ja) 2014-01-17 2019-01-30 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 半導体光源を有する加熱システム
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10053777B2 (en) 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2016002036A1 (ja) * 2014-07-03 2016-01-07 新日鐵住金株式会社 レーザ加工装置
US10699922B2 (en) 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
DE102014114220A1 (de) * 2014-09-30 2016-03-31 Osram Opto Semiconductors Gmbh Verfahren für das Aufwachsen von Halbleiterschichten und Träger zum Aufwachsen von Halbleiterschichten
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105810572B (zh) * 2014-12-30 2018-12-25 中国科学院苏州纳米技术与纳米仿生研究所 一种用于离子注入的激光辅助装置及离子注入方法
CN104498677A (zh) * 2015-01-04 2015-04-08 宁波英飞迈材料科技有限公司 一种高通量微区快速热处理设备及其热处理方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9366455B1 (en) 2015-07-14 2016-06-14 Laser Heating Advanced Technologies, Llc System and method for indirectly heating a liquid with a laser beam immersed within the liquid
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP7074675B2 (ja) 2016-01-22 2022-05-24 プレスコ アイピー エルエルシー 狭帯域システムにおいて工学的照射パターンを生成するための、システム及び方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
CN106270877B (zh) * 2016-09-28 2019-11-15 深圳市艾贝特电子科技有限公司 基于fpc金手指激光锡焊装置及焊接方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR101866512B1 (ko) * 2017-04-13 2018-07-04 (주)앤피에스 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10281335B2 (en) * 2017-05-26 2019-05-07 Applied Materials, Inc. Pulsed radiation sources for transmission pyrometry
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102495317B1 (ko) * 2018-03-15 2023-02-07 삼성전자주식회사 반도체 소자의 제조장치 및 반도체 소자의 제조방법
US10818839B2 (en) 2018-03-15 2020-10-27 Samsung Electronics Co., Ltd. Apparatus for and method of fabricating semiconductor devices
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11177144B2 (en) * 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
JP7086232B2 (ja) 2018-06-15 2022-06-17 マトソン テクノロジー インコーポレイテッド 被加工材の露光後ベーク処理のための方法および装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112437975A (zh) * 2018-08-22 2021-03-02 玛特森技术公司 在低温下对工件进行热处理和温度测量的系统和方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11495456B2 (en) * 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
TW202118354A (zh) * 2019-07-17 2021-05-01 美商得昇科技股份有限公司 利用可調式電漿電位的可變模式電漿室
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
DE102019212400B4 (de) * 2019-08-20 2021-05-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Verfahren zur Entfernung von Werkstoffen eines Substrats mittels elektromagnetischer Strahlung
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11648594B2 (en) * 2019-09-03 2023-05-16 Samsung Electronics Co., Ltd. Wafer cleaning apparatus and wafer cleaning method using the same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780590A (en) * 1985-11-21 1988-10-25 Penn Research Corporation Laser furnace and method for zone refining of semiconductor wafers
US4959245A (en) * 1987-10-16 1990-09-25 U.S. Philips Corporation Method of modifying a surface of a body using electromagnetic radiation
US5222112A (en) * 1990-12-27 1993-06-22 Hitachi, Ltd. X-ray pattern masking by a reflective reduction projection optical system
CN1189243A (zh) * 1995-05-08 1998-07-29 联合讯号公司 使用电子束辐照固化旋涂玻璃膜的方法
JPH11296058A (ja) * 1998-04-14 1999-10-29 Nec Corp 原子線ホログラフィによるパターン形成方法及び装置

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US622990A (en) * 1899-04-11 Harold boyd
US2318533A (en) 1940-12-06 1943-05-04 Western Electric Co Apparatus for heating material
US3879257A (en) 1973-04-30 1975-04-22 Scott Paper Co Absorbent unitary laminate-like fibrous webs and method for producing them
US3903342A (en) 1973-04-30 1975-09-02 Scott Paper Co Soft, absorbent, unitary, laminate-like fibrous web with delaminating strength and method for producing it
US4166001A (en) 1974-06-21 1979-08-28 Kimberly-Clark Corporation Multiple layer formation process for creped tissue
US4207467A (en) * 1978-09-05 1980-06-10 Laser Precision Corp. Film measuring apparatus and method
DE2953138A1 (de) 1978-11-28 1980-12-04 Western Electric Co Dual wavelength laser annealing of materials
US4316074A (en) 1978-12-20 1982-02-16 Quantronix Corporation Method and apparatus for laser irradiating semiconductor material
US4225382A (en) 1979-05-24 1980-09-30 The Procter & Gamble Company Method of making ply-separable paper
US4234356A (en) 1979-06-01 1980-11-18 Bell Telephone Laboratories, Incorporated Dual wavelength optical annealing of materials
JPS56142630A (en) 1980-04-09 1981-11-07 Fujitsu Ltd Manufacture of semiconductor device
US4318752A (en) 1980-05-16 1982-03-09 Bell Telephone Laboratories, Incorporated Heterojunction semiconductor laser fabrication utilizing laser radiation
US4344818A (en) 1981-05-04 1982-08-17 Kimberly-Clark Corporation Air/water hybrid former
US4547651A (en) 1981-05-28 1985-10-15 Mitsubishi Denki Kabushiki Kaisha Laser machining apparatus
DE3126953C2 (de) 1981-07-08 1983-07-21 Arnold, Peter, Dr., 8000 München Verfahren zur thermischen Behandlung der Oberfläche von Werkstücken mittels eines linear polarisierten Laserstrahls
JPS58164219A (ja) 1982-03-25 1983-09-29 Agency Of Ind Science & Technol 積層型半導体装置の製造方法
US4468279A (en) 1982-08-16 1984-08-28 Avco Everett Research Laboratory, Inc. Method for laser melting of silicon
GB2130009B (en) 1982-11-12 1986-04-03 Rca Corp Polycrystalline silicon layers for semiconductor devices
JPS59169125A (ja) 1983-03-16 1984-09-25 Ushio Inc 半導体ウエハ−の加熱方法
US4550684A (en) 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
JPS6063926A (ja) 1983-08-31 1985-04-12 Fujitsu Ltd 半導体装置の製造方法
US4615765A (en) 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
US4719122A (en) * 1985-04-08 1988-01-12 Semiconductor Energy Laboratory Co., Ltd. CVD method and apparatus for forming a film
KR910002596B1 (ko) 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
JPS62221931A (ja) * 1986-03-25 1987-09-30 Fuji Heavy Ind Ltd 無段変速機の制御装置
US4975561A (en) 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US5188458A (en) 1988-04-27 1993-02-23 A G Processing Technologies, Inc. Pyrometer apparatus and method
US6016383A (en) 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
DE4109956A1 (de) 1991-03-26 1992-10-01 Siemens Ag Verfahren zum kurzzeittempern einer halbleiterscheibe durch bestrahlung
US5446825A (en) 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
JP3213338B2 (ja) 1991-05-15 2001-10-02 株式会社リコー 薄膜半導体装置の製法
US5255286A (en) 1991-05-17 1993-10-19 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5317656A (en) 1991-05-17 1994-05-31 Texas Instruments Incorporated Fiber optic network for multi-point emissivity-compensated semiconductor wafer pyrometry
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
JPH05243166A (ja) 1992-02-26 1993-09-21 Nec Corp 半導体基板の気相成長装置
US5336641A (en) 1992-03-17 1994-08-09 Aktis Corporation Rapid thermal annealing using thermally conductive overcoat
US5232262A (en) * 1992-04-01 1993-08-03 Shin Yeh Enterprise Co., Ltd. Arm of an armchair with means to support a bottle or a cup
US5268989A (en) 1992-04-16 1993-12-07 Texas Instruments Incorporated Multi zone illuminator with embeded process control sensors and light interference elimination circuit
US5253324A (en) 1992-09-29 1993-10-12 North Carolina State University Conical rapid thermal processing apparatus
US5418885A (en) 1992-12-29 1995-05-23 North Carolina State University Three-zone rapid thermal processing system utilizing wafer edge heating means
DE4306398A1 (de) 1993-03-02 1994-09-08 Leybold Ag Vorrichtung zum Erwärmen eines Substrates
US5345534A (en) 1993-03-29 1994-09-06 Texas Instruments Incorporated Semiconductor wafer heater with infrared lamp module with light blocking means
JP3021227B2 (ja) 1993-04-01 2000-03-15 花王株式会社 吸収紙及びそれを具備する吸収性物品
KR100255689B1 (ko) 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5607551A (en) 1993-06-24 1997-03-04 Kimberly-Clark Corporation Soft tissue
CA2119432A1 (en) 1993-11-12 1995-05-13 Greg A. Wendt Method for making stratified tissue
US5444815A (en) 1993-12-16 1995-08-22 Texas Instruments Incorporated Multi-zone lamp interference correction system
US5695607A (en) 1994-04-01 1997-12-09 James River Corporation Of Virginia Soft-single ply tissue having very low sidedness
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5563095A (en) * 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
JPH08222797A (ja) 1995-01-17 1996-08-30 Hewlett Packard Co <Hp> 半導体装置およびその製造方法
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
FI102696B (fi) 1995-02-22 1999-01-29 Instrumentarium Oy Kaksoissäteilylähdekokoonpano ja mittausanturi
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
US5958185A (en) 1995-11-07 1999-09-28 Vinson; Kenneth Douglas Soft filled tissue paper with biased surface properties
JPH08286212A (ja) * 1995-04-14 1996-11-01 Semiconductor Energy Lab Co Ltd 表示装置の作製方法
TW284907B (en) 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
JP3581443B2 (ja) * 1995-07-11 2004-10-27 キヤノン株式会社 画像処理装置及びその方法
US5861609A (en) 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US5762713A (en) 1995-11-28 1998-06-09 Texas Instruments Incorporated RTP lamp design for oxidation and annealing
JPH09246202A (ja) 1996-03-07 1997-09-19 Shin Etsu Handotai Co Ltd 熱処理方法および半導体単結晶基板
JPH09320961A (ja) 1996-05-31 1997-12-12 Nec Corp 半導体製造装置及び薄膜トランジスタの製造方法
US6072160A (en) 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US5937142A (en) 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5886320A (en) 1996-09-03 1999-03-23 International Business Machines Corporation Laser ablation with transmission matching for promoting energy coupling to a film stack
US5820942A (en) 1996-12-20 1998-10-13 Ag Associates Process for depositing a material on a substrate using light energy
US5980637A (en) 1996-12-20 1999-11-09 Steag Rtp Systems, Inc. System for depositing a material on a substrate using light energy
US6017418A (en) 1996-12-23 2000-01-25 Fort James Corporation Hydrophilic, humectant, soft, pliable, absorbent paper and method for its manufacture
US6033761A (en) 1996-12-23 2000-03-07 Fort James Corporation Soft, bulky single-ply tissue having low sidedness and method for its manufacture
US5908307A (en) * 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5954982A (en) 1997-02-12 1999-09-21 Nikon Corporation Method and apparatus for efficiently heating semiconductor wafers or reticles
US5911890A (en) 1997-02-25 1999-06-15 Lsp Technologies, Inc. Oblique angle laser shock processing
US6033523A (en) 1997-03-31 2000-03-07 Fort James Corporation Method of making soft bulky single ply tissue
US5874711A (en) 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6027244A (en) 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US6075922A (en) 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US5970382A (en) 1998-01-26 1999-10-19 Ag Associates Process for forming coatings on semiconductor devices
US6056434A (en) 1998-03-12 2000-05-02 Steag Rtp Systems, Inc. Apparatus and method for determining the temperature of objects in thermal processing chambers
US6204484B1 (en) 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
US6153053A (en) 1998-04-15 2000-11-28 Fort James Corporation Soft, bulky single-ply absorbent paper having a serpentine configuration and methods for its manufacture
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6084213A (en) 1998-05-18 2000-07-04 Steag C.V.D. Sytems, Ltd. Method and apparatus for increasing temperature uniformity of heated wafers
US6034357A (en) 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6210484B1 (en) * 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
DE69937255T2 (de) * 1998-11-20 2008-07-03 Steag RTP Systems, Inc., San Jose Schnell-aufheiz- und -kühlvorrichtung für halbleiterwafer
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6174651B1 (en) 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6200023B1 (en) 1999-03-15 2001-03-13 Steag Rtp Systems, Inc. Method for determining the temperature in a thermal processing chamber
US6293696B1 (en) 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers
DE19923400A1 (de) * 1999-05-21 2000-11-30 Steag Rtp Systems Gmbh Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US6403923B1 (en) * 1999-09-03 2002-06-11 Mattson Technology, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6359263B2 (en) * 1999-09-03 2002-03-19 Steag Rtp Systems, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6514876B1 (en) * 1999-09-07 2003-02-04 Steag Rtp Systems, Inc. Pre-metal dielectric rapid thermal processing for sub-micron technology
JP4514861B2 (ja) * 1999-11-29 2010-07-28 株式会社半導体エネルギー研究所 レーザ照射装置およびレーザ照射方法および半導体装置の作製方法
EP1240666A2 (en) 1999-12-21 2002-09-18 Mattson Thermal Products Inc. GROWTH OF ULTRATHIN NITRIDE ON Si(100) BY RAPID THERMAL N 2? TREATMENT
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6808758B1 (en) * 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
TW523791B (en) 2000-09-01 2003-03-11 Semiconductor Energy Lab Method of processing beam, laser irradiation apparatus, and method of manufacturing semiconductor device
KR100848423B1 (ko) * 2000-09-19 2008-07-28 맷슨 테크놀로지, 인크. 유전체 코팅 및 유전체 코팅을 형성하는 방법
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) * 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US6559424B2 (en) * 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
DE10296448T5 (de) * 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US8288239B2 (en) * 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
US7005601B2 (en) * 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
DE10392519T5 (de) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US20030209326A1 (en) 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US6875691B2 (en) * 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7101812B2 (en) * 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) * 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US6747245B2 (en) * 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
US6797312B2 (en) * 2003-01-21 2004-09-28 Mattson Technology, Inc. Electroless plating solution and process
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780590A (en) * 1985-11-21 1988-10-25 Penn Research Corporation Laser furnace and method for zone refining of semiconductor wafers
US4959245A (en) * 1987-10-16 1990-09-25 U.S. Philips Corporation Method of modifying a surface of a body using electromagnetic radiation
US5222112A (en) * 1990-12-27 1993-06-22 Hitachi, Ltd. X-ray pattern masking by a reflective reduction projection optical system
CN1189243A (zh) * 1995-05-08 1998-07-29 联合讯号公司 使用电子束辐照固化旋涂玻璃膜的方法
JPH11296058A (ja) * 1998-04-14 1999-10-29 Nec Corp 原子線ホログラフィによるパターン形成方法及び装置

Also Published As

Publication number Publication date
DE10297368T5 (de) 2004-10-14
US8222570B2 (en) 2012-07-17
US7847218B2 (en) 2010-12-07
US20020137311A1 (en) 2002-09-26
WO2003040636A1 (en) 2003-05-15
US20080050688A1 (en) 2008-02-28
US8669496B2 (en) 2014-03-11
CN101350294A (zh) 2009-01-21
US20090098742A1 (en) 2009-04-16
KR100917501B1 (ko) 2009-09-16
US20120252229A1 (en) 2012-10-04
US7015422B2 (en) 2006-03-21
JP2005509281A (ja) 2005-04-07
US7453051B2 (en) 2008-11-18
KR20050043755A (ko) 2005-05-11
CN101350294B (zh) 2012-12-26
CN1556910A (zh) 2004-12-22
US20080008460A1 (en) 2008-01-10
JP4450624B2 (ja) 2010-04-14

Similar Documents

Publication Publication Date Title
CN100415933C (zh) 通过优化电磁能的吸收加热半导体晶片的系统和方法
US6876816B2 (en) Heating device, heat treatment apparatus having the heating device and method for controlling heat treatment
US7949237B2 (en) Heating configuration for use in thermal processing chambers
US5727017A (en) Method and apparatus for determining emissivity of semiconductor material
KR100630025B1 (ko) 기판 온도 측정 센서
US6717158B1 (en) Heating device for heating semiconductor wafers in thermal processing chambers
US6056434A (en) Apparatus and method for determining the temperature of objects in thermal processing chambers
US9029739B2 (en) Apparatus and methods for rapid thermal processing
WO1992021147A1 (en) Pyrometer temperature measurement of plural wafers stacked in a processing chamber
KR100316445B1 (ko) 광학방사선측정장치
KR101010492B1 (ko) 전자기 방사선의 스캐닝에 의한 열 플럭스 프로세싱
JP2664288B2 (ja) 高温計による加工室内に積み重ねられた複数個のウェーハの温度の測定

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181204

Address after: California, USA

Co-patentee after: Beijing Yitang Semiconductor Technology Co.,Ltd.

Patentee after: MATTSON TECHNOLOGY, Inc.

Address before: American California

Patentee before: MATTSON TECHNOLOGY, Inc.

CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: MATTSON TECHNOLOGY, Inc.

Patentee after: Beijing Yitang Semiconductor Technology Co.,Ltd.

Address before: California, USA

Patentee before: MATTSON TECHNOLOGY, Inc.

Patentee before: Beijing Yitang Semiconductor Technology Co.,Ltd.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20080903