CN100399525C - 用于半导体工艺中高级工艺控制的动态度量方案和取样方案 - Google Patents

用于半导体工艺中高级工艺控制的动态度量方案和取样方案 Download PDF

Info

Publication number
CN100399525C
CN100399525C CNB028122887A CN02812288A CN100399525C CN 100399525 C CN100399525 C CN 100399525C CN B028122887 A CNB028122887 A CN B028122887A CN 02812288 A CN02812288 A CN 02812288A CN 100399525 C CN100399525 C CN 100399525C
Authority
CN
China
Prior art keywords
product
wafer
measurement
scheme
change
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028122887A
Other languages
English (en)
Other versions
CN1602547A (zh
Inventor
A·P·尚穆加松专
A·T·施瓦穆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1602547A publication Critical patent/CN1602547A/zh
Application granted granted Critical
Publication of CN100399525C publication Critical patent/CN100399525C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Abstract

提供用于动态调节与要测量的晶片(或其它装置)相关的取样方案的系统、方法和介质。取样方案提供管芯内特殊测量点的信息,管芯是晶片上的一部分并最终在加工后成为单个的芯片。在管芯内有候补用于测量的特殊点。可以恢复存储的管芯布局图并将其翻译成确定用于晶片上测量的有效点。当一个或多个可能表示影响制造工艺或结果的事件发生时,本发明调整测量的频率和/或空间分辨率。在所需的基础上,加工中发生测量的增加和可能相应的减少。动态度量方案,响应于建议需要附加或不同的晶片测量的特定事件,通过从取样方案中添加、减少或替换候补点来调整晶片内取样的空间分辨率。在要添加、减少或替换点的区域中的管芯布局图中的区域,点被增加,减去或替换,该系统可以从其中选择点。而且,本发明与调整晶片至晶片测量的频率一起使用。

Description

用于半导体工艺中高级工艺控制的动态度量方案和取样方案
相关申请
该申请要求来自2002年5月1日申请的美国专利申请No.10/135,451、2001年9月17日申请的美国临时申请No.60/322,459和2001年6月19日申请的美国临时申请No.60/298,878的优先权,特别将它们并入下文以作参考。
技术领域
本发明涉及用于工艺控制期间的度量的计算机相关和/或辅助的方法、系统和计算机可读介质。更为特别地,涉及在高级工艺控制方法中例如半导体技术制造控制期间度量系统和取样的动态调整。
背景技术
在晶片制造技术中,为了确保按照预定规格制造晶片,当要通过加工装置(processing devices)来制造晶片时,在晶片上通过度量工具进行测量。测量由诸如膜的厚度和均匀度、杂质浓度、栅长和临界尺寸的物理特性组成。这被称为“度量”科学。
要做的测量通常被指定在“管芯布局图(die map)”中。管芯布局图表示不同的芯片(或管芯)设置在晶片上的位置(在一般情形中多个芯片形成在单个晶片上形成并从单个晶片切割掉),以及各管芯上诸如拐角的显著位置。例如,为测量各管芯上的右旋拐角(right handcorner),在晶片上根据管芯布局图测量多个点。通常,管芯布局图为晶片上的坐标点或“度量坐标”的数字表示。
度量坐标通常由工程师来提供,并取决于工程师的偏好来改变。度量坐标通常设置为x,y坐标。
“取样方案”,可替换地,被称为“度量方案”,包括从管芯布局图提取的度量坐标。取样方案表示用于采取特定测量的具体方案。这些测量包括管芯布局图中的一些或全部不确定点和/或芯片。
常规的度量系统分配一个取样方案,其预定哪个晶片将被测量,其和加工装置相联系,和一个测量,其将由测量工具对这些晶片测量。例如,取样方案可以定义为每第五个晶片必须在预定的位置被测量。在初始分配后并不改变这些取样方案,因此度量系统是静态的。
不幸地,当在制造工艺中出现诸如菜单(recipe)的改变、预防性的维护、消耗品的改变、环境改变或大量新晶片等改变时,制造结果趋向于偏离预定的目标或规格。常规的度量系统往往会遗漏超出规格限度外的一些晶片,因为这些系统,在不考虑在制造工艺中是否引入会改变,其导致制造结果的改变,使用实质上一致的且具有与固定的空间分辨率相一致的频率测量的测量模式。
制造系统通常并不要求对每一晶片的测量,因为测量花费时间且增加测量次数会导致生产率的降低。另一方面,测量较少的晶片往往会导致显著影响晶片产量的工艺控制的关键信息的延迟探测。虽然在生产期间或之后取样系统会对晶片取样,但这些系统在生产期间并不能调整对晶片初始制定的取样方案。
因此,尚须要动态的度量来改善产品的质量。对于半导体晶片,尚须要更好地检验是否在生产条件下符合每一规格。还须要响应于会引起预定目标结果变化的参数中的改变,诸如菜单参数,且须要响应与调整测量的频率和/或空间分辨率。不幸地,进行测量花费时间,且大多数加工装置比为了利用度量来表征晶片而需要通过度量工具采取的测量要快。因此,尚须要对潜在影响系统结果的改变做出反应且相应地适当地调整、增加或减少测量的方法、系统和介质。
发明内容
本发明通过动态地确定,对于基于设备、菜单等中的改变的工艺控制,晶片是否需要被检测,来消除上述问题。另外,对于给定要测量的晶片,还可以对度量工具动态地指定测量点。
更为具体地,预料到本发明实施例的两个变化可以独立地或一起使用。根据第一个变化,随着建议应该测量较多(或较少)的晶片的事件(event),调整测量晶片的频率(“晶片至晶片”)。根据第二变化,随着建议更详细地或粗略地测量要被测量的晶片的事件,增加或减少为测量选择的那些晶片的测量的空间分辨率(“晶片内”)。
在本发明的一个或多个实施例中,在管芯布局图中绘制候补的坐标测量点,且选择候补坐标测量点的子集作为进行测量的初始点。随后,根据晶片内变化,本发明动态地从这些候补坐标测量点中(根据环境)选择较多、较少或不同的点。根据晶片至晶片的变化,当在制造工艺中有改变时,可以增加测量的数量,以测量每一晶片而不是例如每第三个晶片。如一实例,当执行新菜单以显著地改变晶片上特定区域的厚度,通过选择更多和/或不同的候补测量点在该位置进行更多数量的晶片内测量。如另一实例,当探测到缺陷时,增加选择用于测量的晶片的频率,这增加了探测制造有缺陷晶片和校正控制参数(诸如有关前馈/反馈方法)的几率。在一些情况中,当大偏差清楚地识别问题时,需要更小的频率测量和更低的空间分辨率,然而小偏差却很难识别且需要更大的频率和/或稠密的测量。关于测量的频率和密度,反之在其它情况中可能适合,或可以是不管偏差而采取相同数量测量的情况。
根据本发明的一个或多个实施例,提供一种方法、系统和/或计算机执行的方法,用于对由制造工艺制造的至少一个产品测量至少一个制造特性。提供表示在至少一个产品上要通过制造工艺测量的一组候补点的信息。制造工艺执行用于在至少一个产品上进行测量以测量至少一个制造特性的方案。方案响应于一组候补点限定要做的测量。探测制造工艺中的改变,该改变包括下述至少一种:在制造工艺中接收新材料;探测制造工艺中的缺陷;探测制造工艺中控制参数的改变;和探测至少一个产品测量中的变化。
根据一个或多个实施例,根据探测的改变来调整用于进行测量的方案并响应于此进行至少一个附加的测量。
根据一个或多个实施例,调整晶片至晶片和/或晶片内方案的测量。
根据一个或多个实施例,产品为半导体晶片且制造工艺是自动半导体制造工艺。
根据一个或多个实施例,方案还包括表示度量菜单的信息。
根据一个或多个实施例,候补点包含于相应于至少一个产品的布局图中。该方案可以是预定的取样方案。
根据一个或多个实施例,方案限定产品上的至少一个区域,每一个候补点相应于至少一个区域。
根据一个或多个实施例,调整包括:相应于探测到的改变确定至少一个区域,响应于相应于被确定区域的候补点选择至少一个附加的测量,在该方案下,对被选择的要分配至少一个附加测量,和修正至少一个测量、附加测量和方案。调整可以包括确定探测到的改变是否影响一组产品,如果是,确定是否要测量该组产品中的至少一个产品。产品可以分组设置,且方案还包括:表示可用于测量的组中的产品的第一信息,和表示在方案下要被测量的组中的产品的第二信息。
根据一个或多个实施例,当测量结果表示产品测量中的变化和/或当在制造工艺中探测到缺陷时,放弃表示该产品上测量结果的信息。
根据一个或多个实施例,取样方案包括从产品中央分散的多个样条(splines),且候补点沿样条分布。可以根据产品的表面积加权候补点沿样条的分布。根据一个或多个实施例,取样方案包括多个径向分布的候补点。
附图说明
本发明的上述和其它优点从下述详细描述结合附图可以很容易理解,其中:
图1示出本发明中的一个用于“晶片至晶片”工艺的动态度量例子的流程图。
图2A和2B是晶片上的区域的说明,图2A是晶片的平面图,而图2B是晶片沿途2A的半径B-B的剖面图。
图3是根据本发明的一个或多个实施例的用于“晶片内”加工的动态度量的一个实例的流程图。
图4A和4B是用于和本发明的一个或多个实施例一起使用的用于晶片的螺线取样方案。其中图4A是平面图而图4B是沿图4A中半径的晶片平面图。
图5是用于和本发明的一个或多个实施例一起使用的另一取样方案的例子。
图6是连同本发明的一个或多个实施例使用的计算机化的工艺控制系统的方框图。
具体实施方式
下述详细描述包括多个具体细节。这些细节只是为了说明的目的,而不应该理解为限定本发明。在整个讨论中,为了简化参考,在各图中相似的元素由相同的数字表示。另外,一个实施例中的特征可以与另一实施例中的特征结合。
在本发明的一个或多个实施例中,静态度量意味着有与晶片(或其它装置)相关的预定取样方案,对每一晶片(或其它装置)规定相同的点。相比较,动态度量方案利用初始取样方案并响应于特定事件或非事件(non-events)调整取样。作为源于非事件调整的例子,如果最后测量的十个晶片全部相同,如果加工装置不改变,以及如果加工装置的菜单并不改变,可以合理地假定下一组晶片也将具有全部相同的测量。情况既然如此,然后为增加生产量并减少做测量花费的时间,本发明提供动态调整测量,例如,测量每第三个晶片来而不是每个晶片。因此,该发明不仅对例如由于菜单改变而会发生的潜在错误,还对精确度探测和调整。
本发明的一个或多个实施例设想本发明可以在上述的晶片至晶片的测量中使用,以及,可替换地,在晶片内的测量中使用。考虑晶片内测量的例子,在其中沿200mm直径的晶片的半径采取测量,且以10mm的增量测量半径。在加工期间,通过普通的探测工艺注意到或探测到在50mm和60mm点处有很大的变化。对下一个样品,系统调整以从取样方案的50mm与60mm之间测量另一个点以更好地表征变化,或随意地测量另一附加点,例如,接近变化位置的40mm与50mm之间。如果管芯布局图包括45mm和55mm的点,可以将这些点添加为测量点。在该例子中被调整的测量现在包括40mm、45mm、50mm、55mm和60mm。该系统动态地添加两个附加点(在该例子中)以更好地表征测量和/或变化。在允许添加或替换点的管芯布局图中提供大量的候补点,系统可以几种方式中的任意一种从这些点中选择,诸如选择最接近于中值、范数、其它统计分析的值等。
取样方案在管芯内提供特殊的测量点,管芯作为晶片上的部分通常在加工之后最终要变为单个的芯片。在管芯内有用于测量的候补的特殊点。存储管芯的布局图,优选地以电子形式表示布局图被存储。用于存储管芯布局图信息的一个合适的地方是在工厂自动化系统(“MES”或制造执行系统)中。存储的管芯布局图信息被恢复并被翻译以确定晶片上可得到的测量点是具有优势的。回参建议在半径上45mm和55mm的测量点的先前的例子,如果这些特殊点与目前的管芯不相关(例如,它们不由管芯布局图规定),则合适的取代会是由管芯布局图规定的且接近于或在45mm与55mm之间的候补点中选择的点。这些点也可以是动态选择的。也可以对选择点使用其它标准。
进行动态度量以更好地满足特定的规格。例如,如果在加工装置上改变菜单参数,以调整淀积在晶片上膜的厚度,可以期望更精密地通过测量检验是否还能达到规格。
为避免工艺的减速,当可能表示影响制造工艺或结果的内部或外部改变的一个或多个事件发生时,本发明的一个或多个实施例有利地确定执行附加测量的适当性。在所需的基础上和/或根据预定的标准发生测量的增加和可能相应的工艺减少。
本发明的晶片至晶片的变化,例如,可以检验会影响一组晶片且会调整取样方案的事件。例如,在加工期间,系统确定用于工艺控制的晶片测量的频率的增加是否必要,其根据1)在其上加工晶片的加工装置中的改变;2)处理晶片的加工装置所使用的参数或菜单中的改变;3)在测量中探测到的大的变化或错误;和/或4)没有错误晶片的显著运转。
特别关于晶片内变化,本发明的一个或多个实施例考虑系统从MES获得存储的具有度量坐标信息的管芯布局图。如所表示的,系统不仅提供随机动态测量点的分配,也可解除该分配。
本发明的一个或多个实施例预想利用从MES搜集的信息改变取样方案,并自动利用取决于在其上处理晶片的加工装置的类型的新的取样方案。有利地,系统具有存储的关于晶片的信息,其指示出芯片的类型或装置的类型和相关的取样方案,其在测量含具体装置的晶片是使用,以及其它信息。根据装置的类型,可以获得相关的取样方案或管芯布局图,其中管芯布局图包括一组候补度量点。然后系统对当前的晶片从管芯布局图中的一组候补点或响应于这些候补点选择度量点。
关于取样方案,取样方案的产生可以从装置到装置(芯片类型到芯片类型)变化,且一些测量是根据晶片上的管芯分布。通过将晶片划分成区域,且对晶片的区域测量,本发明的一个或多个实施例在从区域中的有效点中选择一个或多个点提供灵活性。区域的使用是一种提供候补点集的方法,从其中,系统可以选择与所需的晶片上的膜的信息最相关的点。
实际上,系统可以,例如,从候补点集中每一晶片测量二十二至二十五个点。对一些工艺,系统会测量较少的点,诸如八个点,因为测量那些点会花费较长时间或晶片处理时间较快。对于其它工艺,系统会测量另一特性类型的一个点,诸如杂质浓度,该点较为缓慢地测量。
在任意事件中,平衡在测量中花费的时间与制造优质产品所需的时间是重要的。制造商考虑到在规格之内且不产生缺陷产品比快速制造不可信质量的产品更加重要。
在其上处理晶片的每一加工装置具有不同的加工时间,且因此被选择的标准取样速率取决于加工装置的加工速度和度量工具。例如,抛光和清洁加工装置可以消耗五分钟或更长的时间以处理晶片。在后处理的情况中,由度量工具测量每一晶片通常不会降低生产量。
此外,系统可以根据晶片的初始条件和最终条件确定是否做附加的测量。例如,如果在其中引入晶片的横截面厚度剖面并没有很大改变的情形中,系统可以减小引入剖面的样品频率。另一方面,如果引入剖面显著改变,则可以期望测量每一进入晶片。
参考图1,示出晶片至晶片动态度量系统的一个或多个实施例的流程图的例子。该系统检验在加工装置的状态方面是否有显著变化,这可以通过检验例如空闲时间、消耗品的改变等来检验。会存在可能检验到会表示加工装置中的潜在改变或导致人们相信其已经被改变的其它事件。可能加工装置自身可以包括充足程序设计以识别或追踪那些事件类型。图1中的流程图例子包括根据从加工装置搜集的信息并根据显著的内部或外部变化(例如系统长时间空闲、腔室被净化、新一批浆料,初始晶片等)来开始分析和做决定的事件组或状态改变的例子。其它事件或状态包含于从其中确定是否测量晶片的组中。
本发明的一个或多个实施例还预料到下述情况。假定提供有初始的取样方案。例如,方案可以直接测量每一晶片上特定点和/或包括表示该批内将要被测量的晶片的信息。根据取样方案测量晶片。根据晶片至晶片的度量方案,当有正当理由时,系统偏离初始取样方案。一旦系统探测到工艺再次“正常”或再次生产规格内产品时,系统可以返回初始取样方案。
仍参考图1,根据本发明的一个或多个实施例,考虑例如标准的一箱要处理的二十五个晶片。一箱晶片通常从一些其它加工装置到达加工装置,且开始对该批晶片的处理,在方框101。
如果由加工装置处理的晶片是加工装置上特定批的第一个晶片,为了探测是否会有一些加工装置相关的特性改变,则期望测量该晶片。因此,在方框103,系统检验是否在设备上处理第一晶片。这还可以包括诸如下述预防性维护的情形,其中加工装置中的腔室已被清洗或者或许在加工装置中的消耗品被替换。
根据方框103,如果处理的晶片是设备上的第一个(或其它预定的)晶片,则在方框105,系统在本处理之前,检验加工装置是否空闲时间大于一些特定时间;且如果加工装置不显著空闲,在方框107,该系统检验是否改变或修改处理。如果处理没有改变,在方框116,根据初始的取样方案执行或不执行晶片的测量;相应地在方框120,测量晶片,或在方框118,不测量晶片。另一方面,如果设备空闲足够长的时间,或者如果处理已经改变,分别在方框109或111,采取新的测量。
如果晶片不是加工装置上的第一个,则如所指示的,在方框113,系统检验是否对菜单做出显著的改变,诸如通过工艺控制算法或工艺控制器。通常期望保证即使发生显著改变仍满足规格。菜单的改变可以包括时间、压力、流速等,乃至完全不同的菜单。如果菜单被显著改变,则在方框115,系统调用晶片的测量。
系统还检验是否探测到缺陷,例如在加工装置中。通过工厂自动系统监测加工装置,例如确定加工装置是否有问题,其要么来自自动系统要么来自加工装置本身。同样,加工装置本身包括探测缺陷的能力。如果探测到缺陷,系统随后测量以确认晶片是否在规格内。因此,在方框117,确定是否探测到缺陷。如果探测到缺陷,在方框123,系统测量晶片。因为很可能晶片具有错误,则为了反馈的目的,会期望不使用这样的测量。
有两种使用测量值的情况。在第一种情况中,系统使用测量值或存储用于进一步加工的测量值,诸如随设备空闲条件的测量。在第二种情况中,诸如随着缺陷探测,系统可以检验晶片或晶片组的可接受性,但不存储会扭曲历史结果的值。在第一种情况中,为更好地预测加工装置将如何运转,或为了其它目的,系统利用历史值以用于模拟加工装置。例如,知道错误已经发生,通常通过改变处理元件或参数,制造商想发现并修改错误的原因。因此,由于晶片的工艺参数,该晶片触发探测到的错误不是工艺系统中“正常”加工的指示。另一方面,对于错误探测的情况,系统仅确保晶片是好(例如可用)晶片与坏晶片。不幸的是,通常随着错误,一组中的几个晶片受到该错误的潜在影响,且期望成组测量晶片。一旦测量的晶片伴随着错误,如果晶片是坏的,则期望将晶片标记为有问题的,并丢弃测量值以及可疑的晶片本身。
相似地,如果尽管菜单没有改变、没有探测到错误,且没有其它错误的可能原因,而晶片偏离指标,有可能存在一组偏离指标的晶片。结果,探测到哪儿有有错误的晶片,下一晶片显著地更有可能出现错误。因此,在方框119,系统检验先前的晶片是否偏离指标十分远,如通过根据图1先前做的测量确定的那样。如果是,则在方框121,系统还测量当前的晶片。
最后,可以期望根据初始取样方案测量晶片。因此,在方框125,系统检验初始取样方案以有利地确定是否应该根据初始取样方案测量当前晶片。如果不需要,则系统并不测量晶片。根据一个或多个实施例,使用修改的取样方案以在适当的情况下测量晶片,诸如在芯片类型改变之后。
相似地,如果没有影响晶片加工的条件改变,且如果晶片组符合指标,则期望晶片继续符合指标。因此,如方框127所表示的,如果最后n个晶片的测量十分符合指标,则不需要在这种情况下或如此频率下测量晶片。采用这种方法,可以减小测量的数量且潜在减少加工时间。另一方面,如果在方框127,系统确定n个晶片的最后组不符合指标,在方框129,系统测量当前晶片。
参考图2,示出用于晶片内动态度量的测量区域的晶片布局图。因为系统可能改变晶片内的度量,其被称之为“晶片内”,以区别于先前描述的晶片至晶片动态度量。(图3,下面详细描述,示出用于晶片内动态度量的流程图的例子。)
在晶片上加工装置执行工艺的地方是对称的,以便系统以对称形式(matter)影响晶片上的膜部分,测量更少的点是合理的,或许仅一个半径的测量。另一方面,在晶片上通过加工装置执行在先步骤的地方是不对称的,需要获取附加测量值的信息。因此期望的测量点的数目此外还取决于工艺的类型,如果需要还取决于工艺中的步骤。
例如,假定非常一致的工艺,或许仅晶片上的五个点需要被测量以提供充足的精度。另一方面,假定非常不一致的工艺或大量未决定的信息,或许应该测量二十五个点以达到充足的精度级别。
通常对工厂自动系统或工厂自动系统中的软件被程序化,以确定哪一工艺(或多个工艺)或工艺中的步骤在哪一加工装置上运转。根据那一信息,系统可以确定对于适当精度的测量或一组测量需要测量一些或很多点。
例如,考虑具有多个独立地处理晶片的腔室或设备的加工装置。在该例子中,工艺控制算法描述四个菜单改变。本发明确定哪一晶片需要被测量(晶片至晶片),以及根据动态菜单的改变(晶片内)所期望在测量点数量中的改变。这种度量策略最终能根据来自MES或其它工厂自动系统的管芯局部图使得动态度量改变。
该管芯布局图提供相应于要测量晶片的候补点集,且该系统从这些候补点中选出最直接相应于与晶片相关的所需的或想要的信息的点。MES或其它工厂自动系统提供来自那些候补点的表示可以被测量的可允许的或相关可能的点的信息,本发明的一个或多个实施例考虑到系统从这些候选点中选择能获取想要的信息的最小点组。
图2A和2B示出典型晶片201的例子的平面图和横截面图。在该例中具有半径区域1至5。如图2A中所示,示出的晶片201是圆形的。晶片上的芯片通常是正方形的且横跨晶片放置。在加工的最后,将芯片从晶片切下。图2B示出图2A中晶片的横截面,从一个边缘到晶片中央的横截面B-B。区域1从中央径向延伸至40mm;区域2从40mm延伸至60mm;区域3从60mm延伸至80mm;区域4从80mm延伸至92mm;区域5从92mm延伸至95mm。可以将晶片分成更多或更少的区域。同样,虽然示出区域如辐射状,相同概念应用于不是圆形或辐射状的区域中。
管芯布局图包括任意区分晶片的不同区域的取样方案。这种取样方案会包括指示与晶片区域有关的测量点组的信息。
图3的流程图详述了晶片内度量的例子,即,当系统应该或不应该改变测量点时。图3因此区别于图1,示出是否测量当前晶片(晶片至晶片动态度量)。图3定义一组问题例子以确定为测量一个给定晶片内的区域的变化需要更多的点。
参考图3,示出晶片内动态度量的例子,如通过本发明一个或多个实施例所设想的。在方框301,利用当前取样方案通过度量工具测量晶片。已经测量的晶片,系统分析当前晶片以确定是否有会保证对下一晶片改变取样方案的显著变化。从一次运转至下一个运转,潜在改变晶片。即,系统执行一个动作,且然后根据该动作的结果,系统确定是否对下一晶片采用相同的取样方案或做一些差异。
在方框303,确定当前晶片的一个或多个区域内的规格是否有变化。如果没有,则如方框305所示,不需要添加更多的取样点。
在方框307,如果在一个区域中有变化,则其然后确定变化是否是由于假相(outlier or flier)引起的。假相是这样的情形,其中测量值不是实际值的精确反映。例如,如果在晶片上有灰尘斑点,这可以引起错误的厚度测量;或例如,实际测量点显著偏离正确测量坐标,导致厚度显著增高或降低。根据测量点如何不同于预期的测量值,可以以很多方式统计确定假相。变化是否是由于假相或是由于实际变化的一些情况中很难确定。收集的数据可以用于表示潜在有缺陷的管芯。
当然,应该理解本发明的一个或多个实施例预料到可以探测变化的任意数目的其它原因,且相应地,作出是否(和怎样)改变取样方案的决定。
仍然参考图3,如果规格的变化归因于假相,则如方框309所示,不改变取样方案。测量不可能是晶片正确的反映,且因此系统不应该对测量作出反应。
在方框311,确定源自规格的变化是否为加工装置能够补偿的一种。例如,加工装置能够修正半径的变化,但不能修正角度或方位角的变化。因此,在方框313,如果加工装置不能补偿区域内的变化,则不改变取样方案。另一方面,如果加工装置可以补偿区域中的改变,则在方框315,向取样方案中该区域添加点,以为下一个晶片更好地表征该区域。随意地,数据可以反馈回系统以便响应于该偏移的条件改变工艺。
根据本发明的一个或多个实施例,在一个或多个晶片中的错误可以启动错误处理和/或报警的一些级别。如果存在不会导致取样方案改变的错误,诸如非系统变化,且即使系统不能补偿,在本发明的一个或多个实施例中,系统会产生警报或触发其它错误处理的性能。如果错误展示系统效果的特性,诸如超出规格的晶片,则产生警报。如果错误是超出规格的一个晶片,根据本发明的一个或多个实施例,系统标记那个晶片。
图3的流程图示出晶片内度量的潜在例子。还预料到其它类型的检查和确定,且其他类型的检验和决定也被考虑,且被用来和详细的检查结合或取代信息的检查。例如,附加的检验可以包括菜单参数中是否有大的改变,其能够影响特定区域;如果是,可以作出这样的决定,即是否该改变影响该区域到需要更多的信息的程度;及如果是,可以向取样方案添加更多度量点。
参考图4A和4B,分别示出三角螺线取样方案的平面图和横截面图。这是一个特定取样方案的例子,示出关于晶片201的具体测量点401。可以使用其它静态取样方案。然而,示出的螺线方案更适合于捕获半径改变和角度改变。考虑极坐标,其中R是半径,θ是角度,三角螺线取样方案可以捕获R方向中和θ方向中的改变。如果系统仅可以对径向变化补偿,则可以期望在径向中添加测量点。即使探测到显著的角变化,如果由于在加工装置中夹持和/或旋转晶片的方式而无论如何不能修正变化,则不必添加任何测量点。
仍参考图4A和4B中示出的实例取样方案,点402沿着自晶片中央发散的三条样条。该实例中的点401通常分布在八个区域中的每一个中,在图4B中示出。在该取样方案中可以潜在地在径向上添加点。在本发明的其它实施例中可以设置较多或较少的区域。假定在区域1中,所有点在径向上从近似0mm至40mm处,则有大的变化;为更好地表征该变化,可以从管芯布局图添加更多的测量点。为了说明的目的,图4A示出离中央24.375mm、48.75mm、73.125mm和97.5mm的等距半径。应该注意的是,沿样条403的点401之间的距离在晶片的外径方向上降低是有利的,以适应相对于区域宽度的表面积的增加。
图4A和4B仅示出许多潜在取样方案的一个,在这种情况中为特定螺线取样方案。可以是其它取样方案。示出螺线取样方案的一个优点是它不仅量化半径变化还量化角变化。另一优点是其还测量加权区域,即其测量与它们所表示的晶片表面近似成比例的坐标的选择数目。越接近于晶片边缘,测量点越密或越集中,因为半径的距离更远,相比较于区域的宽度,区域面积更大。
此外,边缘的变化通常高于向晶片中心的变化。变化趋向于进一步远离中心成比例增加。作为结果,要测量的点的密度可以随着点在半径方向朝外移动而有利地增加。
而且,本发明任意地最优化螺线取样方案的测量速度。在度量的操作中,如果跨晶片径向地执行,则测量较快。根据本发明的一个或多个实施例考虑的螺线取样方案,在线性测量之后,晶片旋转近似120度,然后在放射状跨过晶片在下一点进行下一个测量;然后再旋转晶片近120度以进行下一个测量,如此类推。可以相应于点的部署,以及适应于度量工具的性能和/或限制,旋转角度可以改变。晶片可以设置在底座上且在度量工具进行晶片测量的同时被旋转或移动。
本发明的一个或多个实施例还预料到其它取样方案,包括诸如49个(在图5中示出)的大量点或诸如5个的少量点的取样方案。具有度量点其它分布的其它取样方案,诸如按同心圆或星形分布,或在一个或多个实施例中使用的其它变化。
参考图6,示出与本发明的一个或多个实施例一起使用的能计算机化的工艺控制系统。该系统包括诸如APC601的标准工厂自动系统。APC601为一个或多个标准加工装置603或设备提供中央控制,并为之提供通信。依序,加工装置603与标准度量工具605相通信并控制标准度量工具605,标准度量工具605根据与本发明有关的工艺测量晶片。虽然图6示出典型的系统,也可能是其它配置,诸如具有与APC601相通信的度量装置605,或甚至省略APC601并具有构成这里描述的度量装置605。
与本发明一起使用的加工装置的例子包括化学机械抛光(CMP)工具、蚀刻工具、化学气相淀积(CVD)工具、平板印刷工具以及其它。应该注意的是在一些结构中加工装置可以并入度量工具。
虽然已结合上述具体实施例描述了本发明,但对本领域技术人员来说许多选择、修改和变化显而易见。因此所阐述的本发明的优选实施例旨在示例性的而非限制性的。如下述权利要求书中所限定的那样,可以在不脱离本发明的精神和范围下作各种改变。
例如可以适用本发明的任意取样方案。取样方案可以包括除上面提及之外的信息。此外,取样方案可以结合来自多个取样方案的信息。作为另一实例,虽然上面讨论了预定的或静态的取样方案,但这种预定的或静态的取样方案包括那些在诸如恰在晶片处理之前正在被测量的坐标点集。
作为另一个例子,除上面这些讨论之外的、与上面这些讨论相结合的和/或替换上面这些讨论的事件或条件,可以作为晶片至晶片度量决定的部分被检验。例如,度量工具、加工装置或系统本身会指示缺陷。而且,能够指示缺陷的原因,且该信息会被具体地检验且被适当地处理。系统可以以不同的方式检验菜单的改变,诸如菜单的替换,或菜单参数的改变。
相似地,其它事件或条件可以作为晶片内决定的部分被处理。例如,晶片上可以有一个或多个任意形状的区域。作为另一实例,在适当的情况中可以从取样方案中省略点。还有实例包括上面提及的与晶片至晶片的加工有关的其它事件。
作为另一个实例,工厂自动系统可以是多用途计算机,或特别编程的专用计算机。其可以作为分布式计算机系统而非单个计算机来操作。一些分布式系统会包括嵌入系统。此外,程序可分布在加工装置和度量工具或工艺控制系统的其它部分中。相似地,可以在一个或多个计算机系统或处理器上通过软件程序来控制工艺,或可以部分或全部地在硬件中执行。此外,工厂自动系统可以直接或间接与相关度量工具、加工装置和度量系统通信;或度量工具、加工装置和度量系统可以直接或间接彼此通信以及与工厂自动系统通信。

Claims (31)

1.一种计算机执行的方法,其测量通过制造工艺制造的至少一个产品的至少一个制造特性,所述方法包括以下步骤:
(A)提供表示所述至少一个产品上通过所述制造工艺测量的一组候补点的信息;
(B)通过所述制造工艺执行一个方案,所述方案用于在所述至少一个产品上进行测量,以测量出所述至少一个制造特性,该方案定义了将响应于所述一组候补点来进行所述测量;
(C)探测所述制造工艺中的改变,所述改变包括下列中的至少一个:在所述制造工艺中接收新材料、探测所述制造工艺中的缺陷、探测所述制造工艺中控制参数的改变、以及探测所述至少一个产品的测量中的变化;并且
(D)根据探测到的改变,调整用于执行测量的所述方案。
2.如权利要求1的方法,还包括以下步骤:响应于所述探测到的改变,进行至少一个附加测量。
3.如权利要求1的方法,其中所述调整步骤还包括在晶片至晶片间调整所述方案的测量,和/或在晶片内调整所述方案的测量。
4.如权利要求1的方法,其中所述产品是半导体晶片,所述制造工艺是自动化半导体制造工艺。
5.如权利要求1的方法,其中所述方案还包括表示度量菜单的信息。
6.如权利要求1的方法,其中所述候补点包含于与所述至少一个产品相对应的布局图中。
7.如权利要求1的方法,其中所述方案是一种预定的取样方案。
8.如权利要求1的方法,其中所述方案定义了所述产品上的至少一个区域,每个所述候补点都对应于所述至少一个区域。
9.如权利要求8的方法,其中所述调整步骤包括:相应于所述探测到的改变,确定所述至少一个区域;响应于与所确定的区域相对应的候补点,选择至少一个附加测量,分配所选择的至少一个附加测量按所述方案执行,并且对所述测量、所述附加测量和所述方案中的至少一个进行修正。
10.如权利要求1的方法,其中所述调整步骤包括:确定所述探测到的改变是否影响一组产品,如果是,那么确定是否要测量所述一组产品中的至少一个。
11.如权利要求10的方法,其中提供包括所述至少一个产品的多个产品,所述多个产品被提供在一个组中,而且其中所述方案还包括:表示所述组中可用于测量的产品的第一信息;和表示所述组中将按所述方案进行测量的产品的第二信息。
12.如权利要求1的方法,还包括以下步骤:当所述至少一个产品的测量结果表明在所述至少一个产品的测量中存在变化时,和/或当在所述制造工艺中探测到缺陷时,放弃表示所述测量结果的信息。
13.如权利要求7的方法,其中所述取样方案包括从所述至少一个产品中央发散的多个样条,和/或其中所述候补点沿所述样条分布。
14.如权利要求13的方法,其中根据所述至少一个产品的表面积来加权所述候补点沿所述样条的分布。
15.如权利要求7的方法,其中所述取样方案包括多个放射状分布的候补点。
16.如权利要求1的方法,其中:
所述产品为半导体晶片,所述制造工艺为自动化半导体制造工艺;
所述一组候补点包含于与所述至少一个产品相对应的布局图中;
所述方案是一种预定的取样方案,而且所述方案在所述至少一个产品上限定至少一个区域,每个所述候补点都对应于所述至少一个区域;并且
响应于所述探测到的改变,进行至少一个附加测量;而且
其中所述调整步骤包括:相应于所述探测到的改变确定至少一个区域;响应于与所确定的区域相对应的候补点,选择至少一个附加测量;分配所选择的至少一个附加测量;和修正所述测量、所述附加测量和所述方案的至少一种;
其中所述调整步骤还包括确定探测到的改变是否影响一组产品,如果是,确定是否要测量该组产品中的至少一个产品;
其中,提供包括所述至少一个产品的多个产品,所述多个产品被提供在一个组中,而且其中所述方案还包括:表示所述组中可用于测量的产品的第一信息,和表示所述组中将按所述方案测量的产品的第二信息;
当所述至少一个产品的测量结果表明在所述至少一个产品的测量中存在变化时,和当在所述制造工艺中探测到缺陷时,放弃表示所述测量结果的信息;
所述取样方案包括从所述至少一个产品的中央发散的多个样条,所述候补点沿所述样条分布;而且根据所述至少一个产品的表面积来加权所述候补点沿所述样条的分布。
17.一种计算机执行的系统,测量由制造工艺制造的至少一个产品的至少一个制造特性,所述系统包括:
用于表示所述至少一个产品上通过制造工艺测量的一组候补点的装置;
用于提供在所述至少一个产品上进行测量以测量所述至少一个制造特性的方案的装置,该方案定义了将响应于所述一组候补点来进行所述测量;
用于探测所述制造工艺中的改变的装置,所述改变包括下列中的至少一个:在所述制造工艺中接收新材料、探测在所述制造工艺中的缺陷、探测在所述制造工艺中控制参数的改变、以及探测所述至少一个产品的测量中的变化;和
用于响应探测到的改变,并基于所述探测到的改变来调整用于执行测量的所述方案。
18.如权利要求17的系统,还包括用于响应所述探测到的改变从而引起至少一个附加测量的装置。
19.如权利要求17的系统,其中所述探测装置还包括在晶片至晶片间和/或晶片内调整所述方案的测量的装置。
20.如权利要求17的系统,其中待测产品是半导体晶片,所述制造工艺是自动化的半导体制造工艺,而且还包括用于在所述半导体晶片上进行测量的至少一个装置。
21.如权利要求17的系统,其中所述方案还包括表示度量菜单的信息。
22.如权利要求17的系统,其中所述候补点包含于与所述至少一个产品相对应的布局图中。
23.如权利要求17的系统,其中所述方案是一种预定的取样方案。
24.如权利要求17的系统,其中所述方案定义了所述产品上的至少一个区域,每个所述候补点都对应于所述至少一个区域。
25.如权利要求24的系统,其中所述调整装置对所述测量的调整是通过如下方式实现的:在处理器中确定与所述探测到的改变相对应的至少一个区域,响应于与所确定的区域相对应的候补点,选择至少一个附加测量,分配所选择的至少一个附加测量按所述方案执行,并修正所述测量、所述附加测量和所述方案中的至少一个。
26.如权利要求17的系统,其中所述调整装置包括通过确定所述探测到的改变是否会影响一组产品调整测量,如果是,则确定是否要测量该组产品中的至少一个。
27.如权利要求26的系统,其中提供了包括所述至少一个产品的多个产品,所述多个产品被提供在一个组中,而且其中所述方案还包括:表示所述组中可用于测量的产品的第一信息,和表示所述组中将按所述方案测量的产品的第二信息。
28.如权利要求17的系统,还包括除了当所述至少一个产品的测量结果表明在所述至少一个产品的测量中存在变化时,和/或当在所述制造工艺中探测到缺陷时之外,用于表现所述测量结果的装置。
29.如权利要求23的系统,其中所述取样方案包括从所述至少一个产品的中央发散的多个样条,且其中所述候补点沿所述样条分布。
30.如权利要求29的系统,其中根据所述至少一个产品的表面积来加权所述候补点沿所述样条的分布。
31.如权利要求17的系统,其中所述取样方案包括多个放射状分布的候补点。
CNB028122887A 2001-06-19 2002-06-17 用于半导体工艺中高级工艺控制的动态度量方案和取样方案 Expired - Fee Related CN100399525C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US32245901P 2001-09-17 2001-09-17
US60/322,459 2001-09-17
US10/135,451 US7698012B2 (en) 2001-06-19 2002-05-01 Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US10/135,451 2002-05-01

Publications (2)

Publication Number Publication Date
CN1602547A CN1602547A (zh) 2005-03-30
CN100399525C true CN100399525C (zh) 2008-07-02

Family

ID=27384707

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028122887A Expired - Fee Related CN100399525C (zh) 2001-06-19 2002-06-17 用于半导体工艺中高级工艺控制的动态度量方案和取样方案

Country Status (6)

Country Link
US (3) US7698012B2 (zh)
EP (1) EP1399961A2 (zh)
JP (1) JP4418669B2 (zh)
KR (1) KR100934627B1 (zh)
CN (1) CN100399525C (zh)
WO (1) WO2002103778A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI467645B (zh) * 2010-08-25 2015-01-01 Macronix Int Co Ltd 化學機械研磨方法與系統

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9785140B2 (en) * 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6821792B1 (en) * 2001-12-18 2004-11-23 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment state information
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6834212B1 (en) * 2002-07-03 2004-12-21 Blue Control Technologies, Inc. Method and apparatus for APC solver engine and heuristic
US8185230B2 (en) * 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
US6853873B1 (en) 2003-02-21 2005-02-08 Nanometrics Incorporated Enhanced throughput of a metrology tool
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
US20050021272A1 (en) * 2003-07-07 2005-01-27 Jenkins Naomi M. Method and apparatus for performing metrology dispatching based upon fault detection
JP2005051210A (ja) * 2003-07-15 2005-02-24 Matsushita Electric Ind Co Ltd 面内分布データの圧縮法、面内分布の測定方法、面内分布の最適化方法、プロセス装置の管理方法及びプロセス管理方法
US6947805B1 (en) * 2003-08-04 2005-09-20 Advanced Micro Devices, Inc. Dynamic metrology sampling techniques for identified lots, and system for performing same
CN1590989A (zh) * 2003-08-27 2005-03-09 上海宏力半导体制造有限公司 缺陷分析抽样控制系统及方法
US6922600B1 (en) 2004-04-28 2005-07-26 International Business Machines Corporation System and method for optimizing manufacturing processes using real time partitioned process capability analysis
US6965808B1 (en) * 2004-04-28 2005-11-15 International Business Machines Corporation System and method for optimizing metrology sampling in APC applications
US7289865B2 (en) * 2004-07-14 2007-10-30 Asm America, Inc. Optimization algorithm to optimize within substrate uniformities
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7519447B1 (en) * 2004-10-05 2009-04-14 Advanced Micro Devices, Inc. Method and apparatus for integrating multiple sample plans
US7296103B1 (en) 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
FR2878075B1 (fr) * 2004-11-15 2007-03-02 Soitec Silicon On Insulator Procede et appareil de mesure de plaques de semi-conducteur
JP4693464B2 (ja) * 2005-04-05 2011-06-01 株式会社東芝 品質管理システム、品質管理方法及びロット単位のウェハ処理方法
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
CN100461361C (zh) * 2005-07-14 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件制造的测量工具的校准方法
US20070238201A1 (en) 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US7337033B1 (en) * 2006-07-28 2008-02-26 International Business Machines Corporation Data mining to detect performance quality of tools used repetitively in manufacturing
US20090089024A1 (en) 2007-09-28 2009-04-02 Chung-Ho Huang Methods and arrangement for creating models for fine-tuning recipes
JP2009176909A (ja) * 2008-01-24 2009-08-06 Toshiba Corp 抜取検査の評価方法及び抜取検査の評価装置
US7895008B2 (en) * 2008-03-17 2011-02-22 International Business Machines Corporation Method of performing measurement sampling of lots in a manufacturing process
US20090291510A1 (en) * 2008-05-20 2009-11-26 International Business Machines Corporation Method for creating wafer test pattern
US8221193B2 (en) * 2008-08-07 2012-07-17 Applied Materials, Inc. Closed loop control of pad profile based on metrology feedback
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US8392009B2 (en) * 2009-03-31 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control with novel sampling policy
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) * 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US8433434B2 (en) * 2009-07-09 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Near non-adaptive virtual metrology and chamber control
FR2948494B1 (fr) * 2009-07-27 2011-09-16 Soitec Silicon On Insulator Procede de determination d'une position centree d'un substrat semi-conducteur dans un four de recuit, dispositif pour traiter thermiquement des substrats semi-conducteurs et procede pour calibrer un tel dispositif
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
US9014827B2 (en) * 2010-01-14 2015-04-21 International Business Machines Corporation Dynamically generating a manufacturing production work flow with selectable sampling strategies
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US8359866B2 (en) * 2010-02-04 2013-01-29 United Technologies Corporation Combustor liner segment seal member
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
CN102194655B (zh) * 2010-03-15 2013-04-10 中芯国际集成电路制造(上海)有限公司 半导体工艺机台参数优化调整的方法
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP2011245610A (ja) 2010-05-31 2011-12-08 Mitsubishi Electric Corp 半導体装置の製造方法
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8527081B2 (en) 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
US9606453B2 (en) * 2010-09-30 2017-03-28 Kla-Tencor Corporation Method and system for providing tool induced shift using a sub-sampling scheme
US8565910B2 (en) 2011-02-04 2013-10-22 International Business Machines Corporation Manufacturing execution system (MES) including a wafer sampling engine (WSE) for a semiconductor manufacturing process
US8774958B2 (en) 2011-04-29 2014-07-08 Applied Materials, Inc. Selection of polishing parameters to generate removal profile
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
NL2009853A (en) * 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US9508612B2 (en) 2012-03-15 2016-11-29 Applied Materials, Inc. Method to detect wafer arcing in semiconductor manufacturing equipment
US9280151B2 (en) * 2012-05-15 2016-03-08 Wafertech, Llc Recipe management system and method
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR102025540B1 (ko) 2012-08-28 2019-09-26 에이이에스 글로벌 홀딩스 피티이 리미티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
US10267746B2 (en) * 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US9406617B1 (en) 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US11175591B2 (en) 2016-05-12 2021-11-16 Asml Netherlands B.V. Method of obtaining measurements, apparatus for performing a process step, and metrology apparatus
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US9768118B1 (en) 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
JP6765926B2 (ja) * 2016-10-07 2020-10-07 株式会社ディスコ 加工装置
WO2018217232A1 (en) * 2017-05-22 2018-11-29 Kla-Tencor Corporation Zonal analysis for recipe optimization and measurement
US11181882B2 (en) * 2017-06-19 2021-11-23 The Boeing Company Dynamic modification of production plans responsive to manufacturing deviations
KR102408685B1 (ko) * 2017-10-16 2022-06-15 삼성전자주식회사 반도체 소자의 제조를 위한 공정 제어 방법 및 시스템
TWI804836B (zh) 2017-11-17 2023-06-11 新加坡商Aes 全球公司 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
EP3579051A1 (en) 2018-06-07 2019-12-11 ASML Netherlands B.V. Generation of sampling scheme
TWI729334B (zh) 2018-06-07 2021-06-01 荷蘭商Asml荷蘭公司 用於判定器件之控制方案的方法、電腦程式和系統及用於判定多個器件處理之基板的方法
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
EP3848767B1 (de) * 2020-01-13 2023-11-01 Hexagon Technology Center GmbH Verfahren zur qualitätskontrolle von werkstücken sowie koordinatenmessgerät und computerprogramm
US11126769B2 (en) 2020-02-04 2021-09-21 Applied Materials, Inc. Unified material-to-systems simulation, design, and verification for semiconductor design and manufacturing
CN112201587B (zh) * 2020-09-18 2023-12-22 全芯智造技术有限公司 晶圆测量方法
US11919121B2 (en) 2021-03-05 2024-03-05 Applied Materials, Inc. Control of processing parameters during substrate polishing using constrained cost function
WO2022187105A1 (en) 2021-03-05 2022-09-09 Applied Materials, Inc. Control of processing parameters for substrate polishing with substrate precession
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
WO1999059200A1 (en) * 1998-05-11 1999-11-18 Applied Materials, Inc. Fab yield enhancement system
US6002989A (en) * 1996-04-02 1999-12-14 Hitachi, Ltd. System for quality control where inspection frequency of inspection apparatus is reset to minimize expected total loss based on derived frequency function and loss value
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
WO2000054325A1 (en) * 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects

Family Cites Families (402)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US619044A (en) * 1899-02-07 Assay-furnace
US363966A (en) * 1887-05-31 Traction-engine
US135405A (en) * 1873-02-04 Improvement in breech-loading fire-arms
US469227A (en) * 1892-02-23 William g
US100184A (en) * 1870-02-22 safes
US725908A (en) * 1902-02-24 1903-04-21 Parker Wineman Machine for sawing staves.
US811667A (en) * 1905-07-07 1906-02-06 Henry Cooper Seddon Fuse for projectiles.
US927444A (en) * 1907-02-08 1909-07-06 Sullivan Machinery Co Stone or rock channeling machine.
US928474A (en) * 1908-04-14 1909-07-20 Dale Company Adapter.
US928473A (en) * 1908-10-05 1909-07-20 Albert Ruffin Cyclometer for bicycles.
US998372A (en) * 1910-07-25 1911-07-18 Robert S Mills Safety attachment for cars.
US998384A (en) * 1911-03-06 1911-07-18 George Clarence Openshaw Novelty match-safe.
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229197A (en) * 1961-10-31 1966-01-11 Jr Claus J Renken Pulsed electromagnetic field system for nondestructive testing
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (zh) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
IT1072972B (it) * 1976-10-04 1985-04-13 Fonderia Elettrica Alluminio Locale dei servizi per inserimento finito in un edificio
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) * 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4974543A (en) 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
EP0397924B1 (en) 1989-05-17 1995-11-29 Koninklijke Philips Electronics N.V. Work station controller module
JP2780814B2 (ja) * 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) * 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
US5220517A (en) * 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
DE4029440A1 (de) * 1990-09-17 1992-03-19 Windmoeller & Hoelscher Verfahren zum herstellen von kunststoffbeuteln
EP0553285B1 (en) * 1990-10-16 2000-03-01 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) * 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5347446A (en) 1991-02-08 1994-09-13 Kabushiki Kaisha Toshiba Model predictive control apparatus
US5430836A (en) 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) * 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) * 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) * 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
JP3013946B2 (ja) * 1992-02-18 2000-02-28 大同メタル工業株式会社 耐焼付性に優れた多層複合摺動材料
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
US5733171A (en) * 1996-07-18 1998-03-31 Speedfam Corporation Apparatus for the in-process detection of workpieces in a CMP environment
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5428555A (en) 1993-04-20 1995-06-27 Praxair, Inc. Facility and gas management system
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) * 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
KR950034499A (ko) * 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) * 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5546179A (en) 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5535128A (en) * 1995-02-09 1996-07-09 The United States Of America As Represented By The Secretary Of The Air Force Hierarchical feedback control of pulsed laser deposition
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) * 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US6036349A (en) 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) * 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
KR0153617B1 (ko) * 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
US5751582A (en) * 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
WO1997012300A1 (en) * 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
KR100200480B1 (ko) * 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) * 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
JP3854640B2 (ja) 1996-03-06 2006-12-06 株式会社 日立製作所 半導体素子製造方法
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
EP1909318A3 (en) 1996-03-19 2009-12-09 Hitachi, Ltd. Process management system
AU2422797A (en) 1996-03-28 1997-10-17 Bio-Analytics, Inc. Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5910846A (en) 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH1086040A (ja) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
US5664990A (en) 1996-07-29 1997-09-09 Integrated Process Equipment Corp. Slurry recycling in CMP apparatus
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
JPH10106917A (ja) 1996-10-02 1998-04-24 Toshiba Corp 半導体装置製造用生産システム
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5874345A (en) 1996-11-18 1999-02-23 International Business Machines Corporation Method for planarizing TEOS SiO2 filled shallow isolation trenches
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6078845A (en) * 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US6128016A (en) 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US6094688A (en) 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
JPH10329015A (ja) 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
TW396308B (en) 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
US5912678A (en) 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6012048A (en) 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US5975994A (en) 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
JPH118170A (ja) 1997-06-13 1999-01-12 Canon Inc 半導体処理システムおよびデバイス製造方法
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
SG66487A1 (en) 1997-07-11 1999-07-20 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6113462A (en) 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
KR100258841B1 (ko) 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932195A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ supervision
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
KR100278600B1 (ko) 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
TW400621B (en) 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
US5985497A (en) 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
KR100297371B1 (ko) * 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US6271670B1 (en) 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6123983A (en) 1998-04-23 2000-09-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6017771A (en) 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6157864A (en) 1998-05-08 2000-12-05 Rockwell Technologies, Llc System, method and article of manufacture for displaying an animated, realtime updated control sequence chart
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6074517A (en) * 1998-07-08 2000-06-13 Lsi Logic Corporation Method and apparatus for detecting an endpoint polishing layer by transmitting infrared light signals through a semiconductor wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
US6169931B1 (en) 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6277014B1 (en) 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6226792B1 (en) * 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
US6210983B1 (en) 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6159073A (en) 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6173240B1 (en) 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (ja) 1998-11-19 2004-01-19 沖電気工業株式会社 半導体装置製造工程の搬送方法
US6214734B1 (en) 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP4365914B2 (ja) 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
JP2000183002A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法および研磨終点検出装置
JP2000183001A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法およびそれに用いる化学機械研磨装置
US6172756B1 (en) 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
WO2000037881A2 (de) 1998-12-18 2000-06-29 Micro-Epsilon Messtechnik Gmbh & Co. Kg Verfahren zum betreiben eines wirbelstromsensors und wirbelstromsensor
JP3202710B2 (ja) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 液晶プロジェクタ用ダイクロイックプリズム
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6252412B1 (en) * 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6194231B1 (en) * 1999-03-01 2001-02-27 National Tsing Hua University Method for monitoring polishing pad used in chemical-mechanical planarization process
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000269286A (ja) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp 半導体基板の欠陥位置特定方法
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US6317643B1 (en) 1999-03-31 2001-11-13 Agere Systems Guardian Corp. Manufacturing and engineering data base
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6281127B1 (en) 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6334807B1 (en) 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
AU5442800A (en) 1999-05-28 2000-12-18 University Of South Florida Computer vision-based technique for objective assessment of material properties in non-rigid objects
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
JP2003502771A (ja) 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
US6204165B1 (en) 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6210745B1 (en) * 1999-07-08 2001-04-03 National Semiconductor Corporation Method of quality control for chemical vapor deposition
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
EP1067757A1 (en) 1999-07-09 2001-01-10 Hewlett-Packard Company Curled surface imaging system
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6607926B1 (en) * 1999-08-10 2003-08-19 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
US6577914B1 (en) * 1999-08-10 2003-06-10 Advanced Micro Devices, Inc. Method and apparatus for dynamic model building based on machine disturbances for run-to-run control of semiconductor devices
US6368883B1 (en) 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6495452B1 (en) 1999-08-18 2002-12-17 Taiwan Semiconductor Manufacturing Company Method to reduce capacitance for copper interconnect structures
US6391780B1 (en) 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6424880B1 (en) 1999-09-10 2002-07-23 Applied Materials, Inc. Multi-computer chamber control system, method and medium
US6368879B1 (en) 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6484064B1 (en) 1999-10-05 2002-11-19 Advanced Micro Devices, Inc. Method and apparatus for running metrology standard wafer routes for cross-fab metrology calibration
US6427093B1 (en) 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6417014B1 (en) 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6096649A (en) 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
AU8018200A (en) 1999-10-31 2001-05-14 Insyst Ltd. A knowledge-engineering protocol-suite
US6248602B1 (en) * 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6355559B1 (en) 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6465263B1 (en) 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6449524B1 (en) 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6469518B1 (en) * 2000-01-07 2002-10-22 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
US7051015B1 (en) 2000-01-10 2006-05-23 Wind River Systems, Inc. System and method for implementing a flexible data-driven target object model
US6477432B1 (en) 2000-01-11 2002-11-05 Taiwan Semiconductor Manufacturing Company Statistical in-process quality control sampling based on product stability through a systematic operation system and method
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
JP3506114B2 (ja) 2000-01-25 2004-03-15 株式会社ニコン モニタ装置及びこのモニタ装置を具えた研磨装置及び研磨方法
US8028049B1 (en) 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6517414B1 (en) 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
TW478101B (en) 2000-03-23 2002-03-01 Ibm Structure for protecting copper interconnects in low dielectric constant materials from oxidation
JP4874465B2 (ja) 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
WO2001075534A2 (en) 2000-04-03 2001-10-11 Speedfam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6368884B1 (en) 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001305108A (ja) 2000-04-21 2001-10-31 Daido Steel Co Ltd 渦流探傷装置
JP2001326151A (ja) 2000-05-16 2001-11-22 Nec Corp 半導体集積回路製作システム
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
AU2001282879A1 (en) 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6878038B2 (en) 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6609946B1 (en) 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6379980B1 (en) 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6625513B1 (en) 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6711731B2 (en) 2000-08-23 2004-03-23 Pri Automation, Inc. Web based tool control in a semiconductor fabrication facility
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
US6537912B1 (en) 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
JP2004509407A (ja) * 2000-09-15 2004-03-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体製造における制御を改良するための適応サンプリング方法
JP2002093761A (ja) 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR20020022530A (ko) 2000-09-20 2002-03-27 가나이 쓰도무 반도체제조장치의 원격진단시스템 및 원격진단방법
KR100366630B1 (ko) 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
JP3634734B2 (ja) 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6492281B1 (en) 2000-09-22 2002-12-10 Advanced Micro Devices, Inc. Method of fabricating conductor structures with metal comb bridging avoidance
US6766283B1 (en) 2000-10-13 2004-07-20 Insyst Ltd. System and method for monitoring process quality control
US6432728B1 (en) 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6805613B1 (en) 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
JP2002124496A (ja) 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6346426B1 (en) 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6728587B2 (en) 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6582277B2 (en) * 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP4858798B2 (ja) 2001-05-15 2012-01-18 株式会社ニコン 研磨装置、研磨方法およびこの研磨装置を用いた半導体デバイス製造方法
US7079996B2 (en) 2001-05-30 2006-07-18 Ford Global Technologies, Llc System and method for design of experiments using direct surface manipulation of a mesh model
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
JP2002373843A (ja) 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7160739B2 (en) * 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6630741B1 (en) 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6515368B1 (en) 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6774998B1 (en) 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6660633B1 (en) 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002989A (en) * 1996-04-02 1999-12-14 Hitachi, Ltd. System for quality control where inspection frequency of inspection apparatus is reset to minimize expected total loss based on derived frequency function and loss value
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
WO1999059200A1 (en) * 1998-05-11 1999-11-18 Applied Materials, Inc. Fab yield enhancement system
WO2000054325A1 (en) * 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI467645B (zh) * 2010-08-25 2015-01-01 Macronix Int Co Ltd 化學機械研磨方法與系統

Also Published As

Publication number Publication date
WO2002103778A2 (en) 2002-12-27
JP4418669B2 (ja) 2010-02-17
US20080133163A1 (en) 2008-06-05
JP2005505124A (ja) 2005-02-17
WO2002103778A3 (en) 2003-12-11
US20080109089A1 (en) 2008-05-08
US7698012B2 (en) 2010-04-13
US7725208B2 (en) 2010-05-25
KR20040063086A (ko) 2004-07-12
US20020193899A1 (en) 2002-12-19
EP1399961A2 (en) 2004-03-24
KR100934627B1 (ko) 2009-12-31
CN1602547A (zh) 2005-03-30
US7783375B2 (en) 2010-08-24

Similar Documents

Publication Publication Date Title
CN100399525C (zh) 用于半导体工艺中高级工艺控制的动态度量方案和取样方案
US6442496B1 (en) Method and apparatus for dynamic sampling of a production line
JP5401038B2 (ja) 重み付けされた主成分分析に基づく異常検出システムおよび方法
US7302091B2 (en) Method and apparatus for determining defect detection sensitivity data, control method of defect detection apparatus, and method and apparatus for detecting defect of semiconductor devices
US6773931B2 (en) Dynamic targeting for a process control system
US7016750B2 (en) Method, device, computer-readable storage medium and computer program element for monitoring of a manufacturing process
US7257458B1 (en) Automated integrated circuit device manufacturing facility using central control
KR100839071B1 (ko) 공정장비의 상태를 모니터링하기 위한 시스템 및 방법
KR20060093912A (ko) 반도체 공정 결과를 예측하고 제어하는 반도체 공정 제어장치
US6563300B1 (en) Method and apparatus for fault detection using multiple tool error signals
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
KR20060034690A (ko) 결함 검출에 기초하여 계측 디스패칭을 수행하는 방법 및장치
US7027943B2 (en) Method, device, computer-readable storage medium and computer program element for the computer-aided monitoring of a process parameter of a manufacturing process of a physical object
US7130762B2 (en) Method and system for handling substrates in a production line including a cluster tool and a metrology tool
US6909933B2 (en) Method, device, computer-readable memory and computer program element for the computer-aided monitoring and controlling of a manufacturing process
US6821792B1 (en) Method and apparatus for determining a sampling plan based on process and equipment state information
US6754593B1 (en) Method and apparatus for measuring defects
US7051250B1 (en) Routing workpieces based upon detecting a fault
US7348187B2 (en) Method, device, computer-readable storage medium and computer program element for the monitoring of a manufacturing process of a plurality of physical objects
US7720559B1 (en) Dynamic tool scheduling based upon defects
US7292959B1 (en) Total tool control for semiconductor manufacturing
US6901340B1 (en) Method and apparatus for distinguishing between sources of process variation
US6697696B1 (en) Fault detection control system using dual bus architecture, and methods of using same
KR20050084422A (ko) 병렬식 결함 검출
US6763278B1 (en) Operating a processing tool in a degraded mode upon detecting a fault

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080702

Termination date: 20090617