CN100386867C - 器件封装及其制造和测试方法 - Google Patents

器件封装及其制造和测试方法 Download PDF

Info

Publication number
CN100386867C
CN100386867C CNB2004100820736A CN200410082073A CN100386867C CN 100386867 C CN100386867 C CN 100386867C CN B2004100820736 A CNB2004100820736 A CN B2004100820736A CN 200410082073 A CN200410082073 A CN 200410082073A CN 100386867 C CN100386867 C CN 100386867C
Authority
CN
China
Prior art keywords
lid
etching
wafer
opto
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100820736A
Other languages
English (en)
Other versions
CN1655342A (zh
Inventor
戴维·W.·谢里尔
拉里·J.·拉斯内克
约翰·J.费希尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nor Ford Ronnie Co.
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of CN1655342A publication Critical patent/CN1655342A/zh
Application granted granted Critical
Publication of CN100386867C publication Critical patent/CN100386867C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4248Feed-through connections for the hermetical passage of fibres through a package wall
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/16Measuring arrangements characterised by the use of optical techniques for measuring the deformation in a solid, e.g. optical strain gauge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/36Mechanical coupling means
    • G02B6/3628Mechanical coupling means for mounting fibres to supporting carriers
    • G02B6/3684Mechanical coupling means for mounting fibres to supporting carriers characterised by the manufacturing process of surface profiling of the supporting carrier
    • G02B6/3692Mechanical coupling means for mounting fibres to supporting carriers characterised by the manufacturing process of surface profiling of the supporting carrier with surface micromachining involving etching, e.g. wet or dry etching steps
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4206Optical features
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/421Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical component consisting of a short length of fibre, e.g. fibre stub
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4228Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements
    • G02B6/423Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements using guiding surfaces for the alignment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4239Adhesive bonding; Encapsulation with polymer material
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/424Mounting of the optical light guide
    • G02B6/4243Mounting of the optical light guide into a groove
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4244Mounting of the optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4256Details of housings
    • G02B6/4257Details of housings having a supporting carrier or a mounting substrate or a mounting plate
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4266Thermal aspects, temperature control or temperature monitoring
    • G02B6/4268Cooling
    • G02B6/4271Cooling with thermo electric cooling
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4292Coupling light guides with opto-electronic elements the light guide being disconnectable from the opto-electronic element, e.g. mutually self aligning arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/0014Measuring characteristics or properties thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02208Mountings; Housings characterised by the shape of the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02218Material of the housings; Filling of the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02251Out-coupling of light using optical fibres
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02253Out-coupling of light using lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/023Mount members, e.g. sub-mount members
    • H01S5/02325Mechanically integrated components on mount members or optical micro-benches
    • H01S5/02326Arrangements for relative positioning of laser diodes and optical components, e.g. grooves in the mount to fix optical fibres or lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0235Method for mounting laser chips
    • H01S5/02355Fixing laser chips on mounts
    • H01S5/0237Fixing laser chips on mounts by soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/024Arrangements for thermal management
    • H01S5/02407Active cooling, e.g. the laser temperature is controlled by a thermo-electric cooler or water cooling
    • H01S5/02415Active cooling, e.g. the laser temperature is controlled by a thermo-electric cooler or water cooling by using a thermo-electric cooler [TEC], e.g. Peltier element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/024Arrangements for thermal management
    • H01S5/02469Passive cooling, e.g. where heat is removed by the housing as a whole or by a heat pipe without any active cooling element like a TEC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/06Arrangements for controlling the laser output parameters, e.g. by operating on the active medium
    • H01S5/068Stabilisation of laser output parameters
    • H01S5/0683Stabilisation of laser output parameters by monitoring the optical output parameters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02208Mountings; Housings characterised by the shape of the housings
    • H01S5/02216Butterfly-type, i.e. with electrode pins extending horizontally from the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02218Material of the housings; Filling of the housings
    • H01S5/0222Gas-filled housings

Abstract

本发明提供光电子器件封装。该组件包括基本基底,该基本基底具有在基本基底表面上的光电子器件安装区域以及盖子安装区域。光电子器件安装在光电子器件安装区域上。盖子安装在盖子安装区域上从而在基本基底和盖子之间形成密封容积。盖子具有光传输区域,该光传输区域适于沿着光路径传输给定波长的光到光电子器件上或者从光电子器件传输给定波长的光,其中盖子安装区域的至少一部分沿着光轴设置在基本基底表面下低于光的深轴度上。同时还提供晶片或者网格级光电子器件封装,晶片或网格级光电子器件封装盖子以及它们的形成方法,以及连接器化光电子器件。

Description

器件封装及其制造和测试方法
相关申请的交叉参考
本申请要求于2004年9月15日提交的美国临时申请No.60/502,868的35U.S.C§119(e)下的权益,在此援引其全部内容作为参考。
技术领域
本发明总的来说涉及器件封装(device package),并且尤其但非局限地涉及密封微光器件封装。本发明还涉及测试器件封装的方法,诸如检测内部泄漏的方法以及电气和光学测试器件封装的方法。本发明还涉及密封通孔结构,连接器化的光电子器件,以及光电器件封装盖子。另外,本发明涉及将部件粘结在一起的方法。
背景技术
光电子器件在数据通讯中起着着至关重要的作用。然而,为了推进这些设备的应用和使用,希望有效制造光电子器件的能力达到所需要的低成本目标。尤其重要的是降低封装光电子器件工艺的成本,这是由于光电子通讯设备的大部分(达75%之多)是封装成本。封装一般在逐个单元(unit-by-unit)的基础上进行。从成本上考虑,使得光电子器件在大范围例如在晶片级别或网格级别(gridlevel)上进行制造将是有益的。
我们已经知道了密封光电子器件封装。这种组件提供了对于所封装的设备和部件的保持和保护,使之不受存在于该组件外侧的大气中的污染物和水蒸气的影响。这种组件还提供使所封装的光电子器件连接到其它光学部件,如光纤的能力。这些组件一般包括由Kovar制成的敞口式外壳,该外壳包括其中安装有激光器的空腔区域。具有通过壳体内壁的馈通(feedthrough)延伸到空腔中的光纤,并且盖子附着在外壳上从而密封该空腔。但是使用这种光纤馈通存在许多缺点。例如,在形成密封中使用金属化光纤的情况下,成本非常高。另外,很难有效地在馈通中密封光纤,使得组件在密封中容易泄漏和损耗。因此希望一种不依赖光纤馈通的密封组件。
此外,器件封装中的泄漏一般导致所容纳的部件暴露到水蒸气和污染物中,使得部件的性能降低。这对于光电子和光学部件是特别的情形。因此用于测试所密封的器件封装的简单技术是有利的。
因此相对于现有技术,在本领域中需要克服或显著改善一个或多个上述问题的技术。
发明内容
根据本发明第一方面,提供一种光电子器件封装。该组件包括基底,该基底具有在基底表面上的光电子器件安装区域和盖子安装区域。光电子器件安装在光电子安装区域上。盖子安装在盖子安装区域上,从而在基底和盖子之间形成密封容积。光电子器件在所密封的容积中。该盖子具有光传输区域,该光传输区域适于沿着光路径将给定波长的光传输到光电子器件或者从光电子器件传输给定波长的光,其中至少盖子安装区域的一部分沿着光路径设置在基底表面下小于光路径的深度上。
根据本发明的另一方面,提供一种晶片或网格级的光电子器件封装盖子。该盖子包括具有多个单元片(die)的硅晶片或网格,其中每个单元片具有多个侧壁以及一个连接到侧壁上的顶部,从而形成空腔。一个或多个侧壁具有光传输区域,该光传输区域用于沿着光轴传输给定波长的光通过侧壁。
根据本发明的又一方面,提供一种在晶片或网格级上形成光电子器件盖子的方法。该方法包括提供具有多个单元片的硅晶片或网格;以及蚀刻该晶片或网格从而产生多个盖结构,每个盖结构具有多个侧壁以及连接到这些侧壁的一个顶部,从而形成空腔。每个盖结构的一个或多个侧壁具有光传输区域,该光传输区域适合于沿着光轴传输给定波长的光通过侧壁。
根据本发明的再一方面,提供一种连接器化光电子器件。该设备包括连接器以及光电子器件封装,该连接器具有内部空腔以及光接口,该光电子器件封装设置在空腔中与光接口进行光通讯。
该光器件封装可包括基底,该基底具有光电子器件安装区域以及盖子安装区域;安装在光电子器件安装区域上的光电子器件;以及安装在盖子安装区域上从而在基底和盖子之间形成密封容积的盖子。该盖子具有光传输区域,该光传输区域适合于沿着光轴将给定波长的光传输到光电子器件或者从光电子器件传输给定波长的光。
根据本发明的又一方面,提供一种形成密封通孔结构的方法。该方法包括:(a)提供具有第一表面和第二表面的半导体基底,该第二表面与第一表面相对;(b)在基底的第一表面上形成层;(c)从第二表面到该层蚀刻通过基底的通孔,该通孔在第一表面上具有第一周长;(d)在该层中形成孔,其中该孔具有第一周长之内的第二周长;以及(e)提供用于密封该通孔结构的导电结构。
根据本发明的另一方面,提供一种形成密封通孔结构的方法。该方法包括:(a)提供具有第一表面和第二表面的半导体基底,第二表面与第一表面相对;(b)在基底的第一表面上形成绝缘层;(c)从第二表面到绝缘层蚀刻通过基底的通孔;(d)在该层中形成孔;以及(e)提供用于密封通孔结构的金属化结构。
根据本发明的又一方面,提供一种密封通孔结构。该通孔结构包括:具有第一表面和第二表面的半导体基底,该第二表面与第一表面相对;在基底的第一表面上的层;从第二表面到该层通过基底的通孔,该通孔在第一表面中具有第一周长;在层中的孔,其中该孔具有第一周长内的第二周长;以及密封通孔结构的金属化结构。
根据本发明的再一方面,提供一种密封通孔结构。该通孔结构包括:具有第一表面和第二表面的半导体基底,该第二表面与第一表面相对;在基底第一表面上的绝缘层;从第二表面通过基底到该层的通孔;在绝缘层中的孔;以及密封该通孔结构的金属化结构。
根据本发明的另一方面,提供一种检测密封器件封装中的泄漏的方法。该方法包括:(a)提供包含设备的密封组件,其中在组件的内壁中提供可测量的偏转的情况下密封该组件,并且其中偏转的程度依赖于组件内部的压力;以及(b)在密封组件后测量内壁的偏转。
根据本发明的又一方面,提供一种密封器件封装。该组件包括:基底;盖子,该盖子包括基底上的半导体材料;基底和盖子之间的密封容积;以及在所密封的容积中的设备。该密封容积处于一压力下使得盖子的内壁具有可测量的偏转的压力下,以及其中偏转的程度依赖于所密封的容积的压力。
根据本发明的再一方面,提供一种器件封装。该器件封装包括具有第一表面的基底;安装在基底第一表面上的设备;基底中的空腔;以及在空腔中用于去掉由设备产生的热的冷却结构。
根据本发明的又一方面,提供一种器件封装。该器件封装包括:基底,该基底包括盖子安装区域和设备安装区域;在盖子安装区域上的盖子,从而在基底和盖子之间形成密封容积;在设备安装区域上密封容积中的设备;以及在空腔中的冷却结构。
根据本发明的再一方面,提供一种将第一部件粘结到第二部件的方法。该方法包括:(a)在第一和第二部件之间提供多个层,该多个层包括第一成分层,与第一成分层不同组成的第二成分层,以及扩散势垒层,其中扩散势垒层设置在第一成分层和第二成分层之间,并且第二成分层设置在第一部件和扩散势垒层之间;(b)施加压力到第一部件上,从而在第一部件和第二成分层之间形成粘结;以及(c)加热该粘结结构到某个温度一段时间,从而足够使得第一和第二成分层之间原子内部扩散。所得到的结构具有比加热温度高的整体熔点。
附图说明
当结合附图进行阅读时,本发明的前述概要和后面的示范性实施例的详细描述将更易理解,其中:
图1A至1C示意性地示出了根据本发明不同制造阶段的光微型台的透视图;
图2A和2B示意性地示出了分别沿每个截取线2A和2B的图1A和1C的微型台的侧剖面图;
图3A和3B示意性地示出了根据本发明的具有与图1A-C所示地凹进区域不同的设置的微型台的其它结构的侧剖面图;
图4A示意性地示出了根据本发明的包括多个光微型台的底部晶片的顶视图;
图4B示意性地示出了根据本发明的包括多个盖子的晶片的顶视图(向盖子空腔里看);
图4C示意性地示出了具有沿微型台的一行截取的横截面的密封到图4A的底部晶片上的图4B的盖子的晶片的侧剖面图;
图4D-F示意性地分别示出了在盖子从盖子晶片成为整体后组装了图4C的盖子的晶片和底部晶片分别的顶视图和侧剖面图;
图5B示意性地示出了根据本发明由图5A中示出的部件组装成的微光器件封装的透视图;
图6A示意性地示出了沿图5A的微光器件封装的光轴截取的侧剖面图;
图6B示意性地示出了与图6A类似的沿微光器件封装的光轴截取的侧剖面图,但具有单个透镜,该透镜将激光的光发射区域集中到光纤的端面上;
图7A-7C示意性地示出了根据本发明的具有各种冷却结构的微光器件封装的侧剖面图;
图8A和8B示意性地示出了根据本发明的另外的微光器件封装的侧剖面图;
图9A和9B示意性地分别示出了根据本发明的具有密封通孔的微光器件封装的侧剖面图和顶视图,该密封通孔从密封空腔的内部延伸到组件的外部;
图10A和10B示意性地分别示出了其中覆盖根据本发明的微光器件封装的连接器的侧剖面图和透视图;
图11A-11C示意性地示出了与用于冷却微光器件封装的热吸收器一起的用于安装本发明的微光器件封装的连接器的透视图;
图12A和12B示出了表示根据本发明的用于制造具有导电引导线路的光微型台的过程的流程图;
图13A-13C示出了表示根据本发明的用于制造具有导电密封通孔的光微型台的过程的流程图;
图14A-14G示意性地示出了根据本发明的用于制造导电密封通孔的过程;
图15A-15H示意性地示出了根据本发明的用于制造导电密封通孔的另一过程;
图16A-16D示意性地示出了根据本发明的用于在盖子晶片上制造具有空腔的盖子的过程的顶视图;
图17A和17B示意性地分别示出了根据本发明的用于在盖子晶片上制造具有空腔的盖子的另一过程的顶视图和侧视图;以及
图18A-18D示意性地示出了具有玻璃质的侧壁部分的盖子。
具体实施例方式
现在参考附图,其中通篇中相同的元件进行相同的标记,提供了根据本发明的微光器件封装500。该微光器件封装500具有的结构是,通过消除盖子200中光通路开口的需要从而有助于盖子200对于光微型台100的密封。该盖子200包括侧壁220,该侧壁足够透明将要从设置在盖子下的光电子器件12,18接收和传输的波长的光透过。如此处所用,术语“光”并不局限于可见光谱,还包括可见光谱以外的电磁辐射。
为了进一步允许光通过侧壁220和通过光系统的剩余部分无阻碍的传播,盖子200的密封表面226可凹进到光微型台100中,这样使得光系统的通光孔径不被光微型台100的任何表面切断。在这一点上,可以提供凹进的余隙面50,52,54。这种余隙面50,52,54的使用以及凹进去的盖子的安装对于许多微光系统都是有用的。在这点上,发光源,诸如用作光电子器件12的激光单元片(laser die),通常将有效条带(active strip)侧向下粘结到微型台100上,从而使得有效条带相对微型台100上的零件(features)而精确定位。这种设置导致光轴靠近微型台100的上表面70进行设置。如果不使盖子200凹进去,光束将被盖子粘结线和微型台100干扰。通过使盖子(或盖子晶片)凹进微型台100(或微型台晶片)中,光束的全部孔径可通过盖子侧壁220及微型台100上光系统的剩余部分,而不会存在因为光束的阻塞而出现的不需要的损耗。例如,如果没有凹进去的盖子200,达到光源产生的光的一半的光将会丢失。然而,在某些应用中,将盖子200安装到微型台100的未凹进部分上是可以接受的。
A微型台
1.微机加工的微型台部件的设计
现在参考图1A-1C,2A和2B,示出了根据本发明的用作微光器件封装500的基底的示范性光微型台100。尽管微型台100在图1A-1C中示出为分散部件,但微型台100可成为底部晶片(网格)110的一部分或整个的底部晶片110,其上可具有多个光微型台100,如图4A所示。该微型台基底材料是根据在微型台100中要制造的零件进行选择的。例如,微型台材料可包括导热的微型模制塑料以及粉浆浇注(slip-cast)陶瓷,其可由主微机加工的晶片中复制。一种特别适合微型台100的材料是单晶硅,其可由采用确定的照相平板印刷技术的各向异性工艺进行蚀刻从而在微型台100上提供部件的精确定位。尽管我们知道本发明考虑到能实现光微型台100的其它材料和工艺,但为了显示的目的,下面将微型台100描述为包括能进行各向异性蚀刻的单晶材料,但并不局限于此。
现在转到图1A和2A,示出了示范性微型台100,该微型台100包括上表面70,其中形成一个或多个安装零件。安装零件总的设计通常包括这些光学部件相互作用的光模型,这些安装零件用于保持光学部件。例如,在上表面70中可具有第一和第二凹陷20,30,监视器槽11,光纤槽40,以及前、后盖子安装通道62,64。如下面更充分描述的,这些零件可进行各向异性蚀刻到(100)硅衬底中。为了在凹陷20,30,槽11,40以及安装通道62,64之间提供精确的对准,这些零件可在相同的掩模和蚀刻工艺中形成。所得到的蚀刻结构每个包括倾斜侧壁,这些侧壁是{111}结晶平面。上表面70的未蚀刻的部分提供设备安装区域10,其上可安装,粘结以及电气互连一个或多个光电子,电子,机械(例如MEMS)或光机械设备。
例如,当透明盖子200设置在光路径和任选的光隔离器中时,可使用两个透镜22,32,第一透镜22用于半对准光束,使得光束通过透明盖子200和任选的隔离器,第二透镜32用于将光连接到光纤42上,如图5A,5B和6A所示。尽管这样描述设备使得光路径在远离光电子器件12的方向上,我们清楚光路径可在光电子器件接收光的相反方向上。光学部件和用于它们的机械对准的相应的安装零件的间隔将由任何密封剂,焊盘(solder pad)和其它可最终影响它们的粘结位置和它们的光学性能的零件占据。
这些安装零件通常制造得尽可能小,从而使蚀刻到硅中的深度最小,从而使得所保持的公差更紧。在示范性情况下,对于有效区域向下安装到安装区域10的Fabrey-Perot(FP)或分散反馈(DFB)激光单元片12,光轴可是在光微型台100的上表面70上的几微米。此时,安装元件设计可设计成将球形透镜22,32(例如,具有等级为10或更紧的公差的500微米直径的坚晶石)的中心和光纤42的中心设置在激光单元片12有效区域的高度上,如图6A和6B所示。球形透镜22,32,还有系统中的其它光学表面,可用防反射涂层进行涂敷。例如,通过LPCVD沉积的氮氧化硅1/4波层可提供合适的保形涂层。该防反射涂层可例如采用N2O,NH3以及SiCl2H2制成,同时气流和压强可进行调节从而实现所希望的涂层厚度以及指标一致。
当光电子器件将要发热时,在某些情况下希望提供冷却结构,使得热从密封腔中去掉。图7A-C示出了可使用的示范性冷却结构。例如,图7A示出了在微型台100中激光单元片12的位置下的冷却空腔74。该空腔结构74例如可通过采用湿法或干法蚀刻(例如RIE蚀刻)蚀刻底部晶片而形成,通常蚀刻到底部晶片厚度50和90%之间的深度。提供在冷却结构76的空腔中可形成诸如小型热电冷却器(TEC或者珀尔帖效应冷却器)或者金属螺栓,该金属螺栓可连接到TEC冷剂上,该冷却结构对于激光单元片区域允许有效的,某些选择性的冷却(或者温度控制)。这既可以减小冷却所需的功率又可以控制凝结。如图所示,热沉(heatsink)77可附着在基本衬底上,从而有助于热传递。图7B和7C示出了其它的冷却结构,其中冷却结构采用微型制造技术可以在底部晶片110(图7B)的正上或下表面70,72,或者可在盖子200内或盖子200(图7C)上的密封腔230中产生。为了在冷却结构76和光电子器件12之间得到改进的热接触,可使用导热材料78,例如导热润滑脂。
再次参考图1C,两个轴向盖子安装通道66,68可设置在光微型台100的外围上,并且沿着光微型台100的长度进行延伸。该轴向盖子安装通道66,68与前、后盖子安装通道62,64相交提供了具有深沟形状的盖子安装区域60。因此,盖子安装区域60可包括围绕设备安装区域10,第一凹坑20以及第一凹进余隙表面50的凹陷周边在该第一凹进余隙表面50中可安装着盖子200。如图所示,该深沟可为矩形。轴向通道66,68的深度可与盖子安装通道62,64的深度相同。如果希望密封盖子200的子表面,该深沟可凹进上表面70下的光微型台100到低于微光系统的光路径的深度。
2.微型台导电路径
如图1B所示,为了提供到光电子器件12,18的电连接,导电导线14形式的电连接可在微型台100的上表面70的可选择区域上形成图案。可对导电导线14进行定位从而允许在设备安装区域10和密封盖子200之外的微型台100的区域之间的电联通,该热密封盖子200覆盖和封闭设备安装区域10如图5A和5B所示。例如,由于盖子200的一部分位于后盖子安装通道64中,导电导线14可沿后盖子安装通道64的表面61,63延伸。因此,电信号可沿着位于后安装通道64中的盖子200的边沿下的导电导线14传输。在沿着导电导线14选择的位置,焊盘(solder pad)16可提供在例如设备安装区域10中的导电导线14的端部。
可替换地或者除此以外,为了在设备装区域10的设备12,18之间和密封盖子200外部提供电连接,可设置一个或多个密封导电通孔90,例如如图9A和9B所示。该导电通孔90一般从设备安装区域10的上表面70延伸通过微型台100,从而提供与微型台100下表面72的电联通。该导电通孔在导电导线14中是有利的,并且在这些导线上面盖子200的密封是不必要的。与导线在盖子下延伸相比,我们相信这样将导致电气传输特性,例如传输速度得到改进。密封导电通孔90可提供以高速例如从10到60GHz运行的导电路径。另外,导电通孔90的使用使得可使用金属焊料,例如Au-Sn共晶(例如,从3到8微米厚),从而替代电介质密封例如焊料玻璃或介电密封剂而将盖子200密封到微型台100上,这些电介质密封通常当密封在导线14上时使用。作为使用导电通孔的另一个好处,不必使用用于导线的倾斜侧壁,其中盖子深沟,如果使用的话,可通过切割简单产生。所密封的导电通孔90可通过下面描述的工艺提供。
3.微型台光轨迹
转到图1A-1C,其它的凹入零件可设置在微型台100中,从而如果必要的话,为光束通过安装在微型台100上的光学系统的通道提供缝隙。凹入余隙表面(recessed clearence surface)50,52,54设置在沿着光路径的位置,从而允许光束自由通过微型台100在余隙表面50,52,54的区域,而在这些区域中没有光束照射到微型台100上。例如,如图1C所示,第一凹入余隙表面50设置为邻近第一凹坑20,第二凹入余隙表面52设置为邻近第二凹坑30,并且第三凹入余隙表面54设置在第二凹坑30和光纤槽40之间。凹入余隙表面具有所确定的深度,最小为隔开光束所需要的深度,最大为所蚀刻的零件和所蚀刻的零件内的粘结光学部件之间的接触点的深度。
现在参考图4A-4E,5A和5B,示出了附加到光微型台100上的光学和密封部件的其它部分,其中示出了最终组装的微光器件封装500。所组装的微光器件封装500包括光学部件以及在安装零件例如第一和第二凹坑20,30处安装在光微型台100上的光电子器件。如此处所使用,术语“光电子器件”包括发射,检测或其他改变光束的有源设备,包括例如光源,光检测器,以及MEMS设备。术语“光学部件”包括光电子器件,还包括无源光学部件,例如透镜,光栅,棱镜,滤波器等等。
参考图5A,示出了根据本发明的示范性微光器件封装500的分解图。该微光器件封装500包括光微型台100和盖子200。光电子器件12,例如半导体激光单元片,设置在与焊盘16电联通的设备安装区域10上。另外,任选的背面监测发光二极管18设置在设备安装区域上,从而监测激光单元片12。类似激光单元片12,监测发光二极管18与每个焊盘电气接触地进行安装,从而允许与每个导电导线14电联通。其它的焊盘可设置在表面上,用于提供机械粘结,并且可与用于电气连接的成分相同或不同。各种成分可用于制造可兼容的分级层。这些成分可在将要粘结的各部分和微型台表面之间分开,这样焊接合金不形成或者不完全形成直到两部分互相接触。这使得在粘结分层中更自由,并且对于给定的低共熔混合物周围的成分改变,可产生几种熔化以及粘结温度。
为了可以进行有源设备例如激光单元片12在微型台上的无源,可视对准,本发明的有源设备可结合合适的基准。这些基准可在与激光单元片12的有源区域避免光刻偏移的同时限定,以便该光刻偏移依次使粘结误差最小。为了有助于布置粘结后的检查,可提供单元片12的侧面与单元片基准直接相对而没有金属。这使得通过当观察微型台100和激光材料时观察硅台基准相对激光器单元片基准的对准而进行IR无源检查。该无源检查方法,其一般使用基于“加权平均值”的每象限的强度来确定粘结后精度,可在某个精度的粘结装置上使用从而改进它们的粘结精确度。同样,它们可提供在激光单元片12通电前将要实现的连接效率。
我们可以选择性制造具有例如约1nm的棚格产生光谱线宽的DFB型单元片或者FP单元片。这个值比用于典型的DFB激光器的宽得多,其中该设计允许几种(例如从2到6)模式出现但具有比单个FP中所提供的线宽窄的线宽。该方法可延伸到FP单元片的范围内并且使得DFB型单元片进行使用而没有隔离件。这可通过形成比等效FP激光器中通常出现的窄的光谱宽度实现,并且有助于通过使得波长依靠网格的dn/dt而不是简单地依靠增益曲线的dn/dt来减小中心波长的热漂移。最后,在单个模式DFB上采用“几种模式”单元片的好处包括在某些可延伸的范围内(例如1到20kM应用)可从系统中去掉隔离件。在这点上,回反射将不会与在单个光谱模式激光器(DFB)中相同的程度干扰所有的模式。这使得更便宜的FP型单元片用于非冷却运行中更长的连接长度进行使用,该非冷却运行相对DFB和隔离件从合格率和成本前景考虑是值得希望的。
如果使用选择的后面监测发光二极管18,需要提供设置在半导体激光单元片12和监测发光二极管18之间的选择的缝隙槽11。该缝隙槽11为由激光单元片12发射的光提供缝隙,从而在向上反射并且到达发光二极管18之前向下传播进入缝隙槽11中。缝隙槽11可包括倾斜端面13,用于接收从激光单元片12发射的光并且发射这种所接收的光向上远离光微型台100并且进入到发光二极管18中。对监测器发光二极管18的位置进行选择以便接收由此而折射的光束。如果存在比希望更多的光,为了确保光的所有调节范围均在监测器发光二极管18的动态范围内,监测器发光二极管18的位置可离开与激光后面耦合的最大点进行调节。例如,该端面13可进行金属化或者其它镀层从而加强其反射性。选择的缝隙槽11可在提供第一和第二凹坑20,30的各向异性蚀刻步骤中形成。在这种情况下,成角度的端面13可包括在各向异性蚀刻(100)的单个结晶硅中的{111}平面。
激光单元片12通常发射总体上圆锥形光束80,该光束80具有上下的边沿光线,该边沿光线位于与微型台100的上表面70垂直的平面内,并且包括光轴。光学部件,例如第一球面透镜22,可位于第一凹坑20内,用于接收由激光单元片12发射的锥形光80,如图6A所示。选择地,第一凹坑20可比所要的大,从而允许第一透镜22位于其中。例如,第一凹坑20可沿着光轴的方向更长一些,这样第一透镜22通过仅与凹坑20的四个侧壁的三个接触从而位于第一凹坑20内。以类似的方式,第二凹坑30可比第二透镜32大。
采用合适的粘合剂或者粘接剂,第一球面透镜22可附着在凹坑20上,该粘合剂或者粘接剂诸如焊料玻璃或者将浸湿透镜的焊接剂。这种焊接剂可通过遮光板进行蒸发或溅射直接沉积到凹坑20中,或者在其他方法中可通过采用焊接球进行沉积。为了防止从激光单元片12发射的低边沿光线的黑暗,激光单元片12的光发射边沿希望设置成与凹坑20毗连,这样低边沿光线向下传播到凹坑20中去,从而遮断第一透镜22而不会照到光微型台100的任何部分。可替换地,激光单元片12可与球面透镜22隔开,并且可提供凹入的余隙表面56,从而允许低边沿光线不被阻碍地到达球面透镜22,如图9A所示。第一透镜22的光学性质可希望地用位于激光单元片12的发光区域的焦点进行选择,这样第一透镜22将从激光单元片12接收的光80的锥形部分校准到预定程度,如图6A所示。可替换地,可对第一透镜22的光学性质进行选择从而提供沿光轴向光纤槽40传播的会聚光束,如图6B所示。
4.密封空腔
微光器件封装500还包括位于微型台100到盖子安装区域的盖子200,如果存在盖子安装通道的话,该盖子安装区域例如盖子安装通道62,64,66,68中,从而在激光单元片12,第一透镜22,发光二极管18,以及第一凹入余隙表面50周围提供密封外壳。例如,盖子200可包括四个侧壁220以及一个顶部250,从而在盖子200内提供矩形空腔230。在其它示范性实施例中,盖子200可包括单个弓形侧壁或者可包括盖子侧壁,该盖子侧壁具有透镜形状从而提供光放大率。盖子200的顶部250通常具有范围从10到100微米的厚度。顶部250的厚度与空腔230的最长尺寸(跨度)的比通常是1/10到1/50。这种比率允许顶部250可响应密封性的改变或损坏而有效的弯曲。这种弯曲可进行测量,从而提供组件密封性显示。例如,对于具有1mm的空腔跨度的盖子200,40微米的盖子厚度通常可提供足够的偏转以及强度。该盖子空腔深度通常由凹沟(如果存在的话)的深度和嵌入的元件的高度确定。例如,通常的盖子深度为从100到600微米。
前后盖子安装通道62,64的倾斜侧壁65,63可有助于引导盖子200的相应侧壁220位于盖子安装通道62,64内的指定位置。盖子安装通道62,64,66,68的深度,以及前后盖子安装通道62,64的深度,可希望地进行选择,从而允许盖子200的低密封表面226附着在光微型台100的足够深度上,这样使得密封表面226位于光系统的光路径外部。因此,只要低密封表面226位于光路径外部,前后盖子安装通道62,64的宽度可等于,大于或者小于位于其中的侧壁220的宽度。另外,盖子200可密封到光微型台100上,使得与光轴交叉的盖子侧壁221以足够防止反射到激光单元片12的相对光轴略微偏离垂直地进行设置,例如,这个量为1或2度。进入激光单元片12的反射可有害干扰某些设备例如DFB激光器的光学性能。
盖子200通常这样进行构造,使得至少位于光路径内的侧壁221一部分在光学上对由激光单元片12传输的光的波长是透明的。这使得光信号在激光单元片12和盖子200的外部以最小损耗进行传递。在某些情况下,可希望侧壁部分包括光波导。透明的侧壁部分应该具有可与传输通过其中的光束相比的物理特性。例如,其中光束通过的侧壁表面的厚度,平行度,以及平滑度应该提供可接受的光学性能,并且不能进行不能接受地恶化光束。作为一个实施例,盖子侧壁220可包括硅,并且具有10-100微米的厚度。为了减小光束对厚度或表面粗糙度上的改变的敏感性,可希望将接近准直的光束通过盖子200的侧壁部分。因为这个原因,可希望提供第一透镜22的上述准直功能。另外,侧壁部分可涂敷有防反射涂层,例如氮化硅,其为1/4波长厚度,从而减小反射。
盖子200可通过任何合适的粘结材料240密封到微型台100的盖子安装区域上,该粘结材料例如焊料玻璃或者金属焊接剂。很方便地,粘结材料240可首先施加到盖子密封表面226上。可替换地,盖子200可直接使用焊接或者其它技术直接密封到微型台100上。合适地粘结材料包括,例如,焊料玻璃,例如包括SnF2,SnO,PO2.5,PbF2,NbO2.5,ZnF2以及BaF2的一种或多种,以及来自Diemat,Inc.,Byfield,MA,USA得到的那些可用材料,例如DM2700PF焊接玻璃,以及金属焊接剂,例如在底基层例如Cr/Ni上的Au-Sn的共晶体或者近共体。
如果导电导线14沿着微型台100的上表面提供,将不能使例如金属焊接剂的导电粘结材料240与导线14进行电联通。在这种情况下,电介质将设置在导线14和导电粘结材料之间。然而,由于电容效应,这种结构可导致速度上的降级,信号以该速度可通过导电导线14。因此,例如环氧树脂或者玻璃焊接剂等介电粘结材料可进行用于在导线14上密封盖子200。然而,甚至是玻璃焊接剂都不适合高RF传输应用,其中密封导电通孔90是优选的。为了减小导电导线14上的密封效果,设置在导线14上的至少盖子侧壁220部分可制得很薄。
第二光学部件,诸如球透镜32,可提供在第二凹坑30中,用于接收来自第一透镜22的对准的光束,第一透镜22穿过盖子200的侧壁221,如图5B和6A所示。采用合适的粘合剂或者粘接剂,第二球透镜32可附着在凹坑30上。在分开之后,光纤42可位于光纤槽40内,并且用合适的粘合剂或者粘接剂进行附着。光纤槽40具有足够的深度,从而允许光纤部42沿着光轴设置。光纤42可设置在陶瓷套圈44内,该陶瓷套圈44延伸超过光微型台100长度,从而为光纤42提供机械支撑,并且有助于将光纤42连接到其它光学部件上。可替换地,陶瓷纵剖套管或者塑料插座(plastic receptacle)可取代光纤作为光纤光插座设计的一部分进行粘结。这使得可以进行外部光纤光连接器的精确配准,该连接器然后可插入到插座中,从而形成与器件封装500的可再生光连接。
第二透镜32的光学性质可进行有益地选择,该透镜的焦点位于光纤42的近乎端面43上。以这种方式,第二透镜32将准直的光束聚焦到光纤端面43上,从而有效地将从激光单元片12发射的光连接到光纤42中。为了防止光系统不受例如灰尘等污染物的影响,密封剂34可提供在光纤端面43和第二透镜32上,并且还可沿着第二透镜32和盖子200的侧壁221之间的光路径的空隙填充,如图5A和5B所示。密封剂34和第二透镜32的光学性质这样进行选择,使得光束在光纤端面43的预定聚焦出现。可使用商业可用的材料,其包括,例如,硅酮密封剂,指数耦合环氧树脂,从Nye Optical Products,Inc.,Fairhaven,MA,USA买到的SmartGelTM密封剂,以及从Dow Corning买到的其他密封剂。
除示于图1-6的示范性微光器件封装500以外,根据本发明的其它结构的微光器件封装也是可以的。例如,微光器件封装500可通过第一侧壁220接收光束,具有由器件封装500中的光学部件选择性地改变的光束,并且然后将选择性地改变的光束通过一个或多个相同的侧壁220,不同的侧壁200或者盖子顶部250传输到组件500外。此外,一组相同或不同结构的器件封装500可菊花链(daisy-chained)在一起,从而提供器件封装500的光学链路。另外,参考图8A和8B,微光器件封装500可这样设置,不包括一个或多个光纤部件42以及第二球透镜32。相反,该微光器件封装500可构造成附着到光纤套圈210a,210b上,光纤套圈210a,210b可在套圈中包括球面透镜32。选择的,器件封装500之间的缝隙67可包括粘结材料,从而可固定的将套圈210安装在器件封装500。此外,指数匹配(index matching)材料可设置在缝隙67中。
B.连接器化
微光器件封装500可使用在各种光电子系统结构中,例如安装到电子器件基底或者在电子器件基底上进行安装,该电子器件基底例如印刷布线电路板(PWB)或者作为连接器化设备的一部分。例如,如图10A和10B所示,连接器520可提供用于接收根据本发明的微光器件封装500,从而允许微光器件封装500光学地连接到其它系统元件上。归功于本发明的微光器件封装中可实现的小型化,微光器件封装500可被容纳在连接器520的内部空腔512内。另外,本发明的微光器件封装非常适于包括在现今商业提供的连接器中。例如,连接器520可为LC连接器或者其它合适的连接器。因此,包括本发明的光微型台的连接器使得光纤连接器用作光发送器,接收器或者收发器。
采用合适的粘结材料,微光器件封装500可安装在外壳支架506上。外壳支架506可设计成既提供机械支撑也提供热散逸。套圈壳体508可提供在连接器520中,用于接收并支撑包括光纤段42的套圈44,该光纤段42光连接在微光器件封装500上。采用合适的粘结材料,套圈壳体508连接在外壳支架506上。可替换地,外壳支架506以及套圈壳体508可用单个单片部分形成。理想地,套圈壳体508和外壳支架506可包括金属。微光器件封装500的套圈44可方便地具有使得光纤套圈44的终端与套圈壳体508的终端重合的长度。套圈壳体508可在光纤套圈44上型模铸造。
电信号以及功率可通过挠性电路510供给到微光器件封装500上,该挠性电路510依据是否使用导电导线或者通孔而电连接到导电导线和/或通孔上。挠性电路510可例如由在例如由3M生产的聚酰亚胺上电镀的铜形成。挠性电路510使得器件封装500机械连接到电子器件基底上或者从电子器件基底上机械分离,该电子器件基底例如PWB,PWB可令人满意的防止CTE差值导致各种粘结失败。挠性电路510可调节PWB和器件封装接触焊盘之间的间距差,可包括其端部的焊接剂,可包括沿其长度的端接电阻,并且包括可控制的阻抗传输线路,从而恰当地在PWB和器件封装500之间传输RF信号。
套圈壳体508可滑动安装在连接器520内,从而使得套圈壳体508在连接器520内进行活塞运动。在这点上,连接器520可包括套圈弹簧502,例如螺旋弹簧,设置在套圈壳体508的一部分上并且在连接器空腔512内。套圈弹簧502的前端503靠在套圈壳体508的肩部509上,并且套圈弹簧502的后端505靠在连接器空腔512的肩部507上。可替换地,微光器件封装500可固定安装在连接器520内而不能活塞移动。
为了有助于微光器件封装500的冷却,连接器520可设有热吸收器(heatsink)540,545,如图11A-11C所示。连接器530,包括其内部部件,可与连接器520大体相似。然而,为了容纳示范性热吸收器540,545,希望能修正连接器520的壳体,从而在连接器壳体内提供槽路532,从而使得热吸收器540,545可延伸到连接器空腔的限定之外。如图11B所示,热吸收器540可包括通路543,通路543具有与金属套圈壳体的近端541的断面形状类似的断面形状,从而使得热吸收器可滑动地安装在金属套圈壳体的近端541上,这样热吸收器与金属套圈连接器508进行热联通,其接着与微光器件封装500进行热联通。热吸收器545可类似连接到金属套圈壳体508上。热吸收器540,545可令人满意地包括多个翅片542,546,用于有助于热吸收器的热逸散。热吸收器通常包括具有足够导热性的材料,例如金属。另外,连接器壳体519的后部可由导热塑料形成从而有助于连接器或插座壳体内外热传递。合适的塑料可商业提供,例如Cool PolyTM,来自Cool Polymers,ofWarwick,RI,USA中得到。当安装到PWB上时,热吸收器可设置在PWB上,从而逸散来自器件封装500的热。
C.微型台的制造
1.具有导电引线的微型台
参考图12A和12B,提供了根据本发明的制造具有导电引线14的光微型台100的示范性工艺的流程图。尽管通过实施例的方式对关于在底部晶片110上制造单个光微型台100描述了流程和过程,我们应当理解,实际上,可在单个底部晶片110或者从晶片中移出的棚格上制造多个光微型台100。
在步骤S10开始,提供底部晶片110,例如(100)双侧抛光的硅晶片。通常,底部晶片110的厚度是525微米厚,并且希望对于高频应用,电阻率比1000 ohm-cm高。底部晶片110希望进行选择从而具有最低氧浓度以及缺陷密度,在实践上,可通过使显微机械加工工艺中的缺陷最小而获得。
在步骤S20,第一硬掩模(hard mask)提供在底部晶片110上。该硬掩模可包括,例如,氮化硅层,例如低应力氮化硅层。第一硬掩模的合适厚度是,例如,200-250nm。选择地,可执行晶体排列步骤,从而确定结晶排列的精确轴线,这样进行蚀刻零件可对齐到结晶轴上以达到所需的精确度。
如步骤S30提供,底部晶片110形成图案用于金属剥离(metal lift-off)。选择地,用于金属剥离的构图可以利用在更厚的抗蚀剂之下设置剥离抗蚀剂层,被构图成薄的抗蚀剂可以在后续的工序中被底切。可以选择地使用一种新加入抗蚀剂分布以便在构图金属时取得清晰的剥离。
在步骤S40继续处理,一个或多个金属层沉积到底部晶片110通过形成图案的硬掩模暴露的区域上。这可通过物理气相沉积(PVD),例如,溅射或者蒸汽过程,化学气相沉积(CVD),或者其它合适的工艺进行。金属层可包括,例如,一个或多个附着层(例如,TiW,TiN,Cr,NiCr,W或其它合适材料),扩散势垒层(例如Ni,Pt,或其它合适材料),以及表面金属(例如Au,Al或者其它合适材料)。附着层的通常厚度(当存在的时候)为5到100nm,例如40到60nm,例如50nm,扩散势垒层的通常厚度为100到300nm,例如200到275nm,例如250nm,表面金属的通常厚度为200nm到1微米,例如,500nm到1微米。可对金属进行选择,使得它们可与随后的处理,例如湿法蚀刻,焊接以及热处理兼容。我们可以使用,例如,Ti/Pt/Au,TiW/Au,TiN/Au,Cr/Ni/Au或者NiCr/Ni/Au的堆叠层。可使导电导线14和焊盘16结合电阻和/或焊料挡圈(solder dam)两者功能的扩散势垒层或者附着层厚度是有用的,如所希望的,由此表面金属可为导电导线14的部分有选择地剥离。焊料挡圈可直接设置在焊盘外部,从而防止焊接剂在回流过程中沿着导电(表面金属)导线14由于毛细作用被带走。电阻通常希望使得传输线路终端电阻与激光单元片12进行匹配到驱动器所需要的阻抗,例如25或50欧姆。当需要的时候,金属化以及表面安装离散元件的粘结过程中的缝隙可代替集成元件。
在步骤S50,形成图案的剥离金属部分可进行剥离,从而在底部晶片110以及对准基准的上表面70生成第一组表面金属化元件,例如导电导线14。通过采用另一抗蚀剂涂层以及通过硬掩模(氮化硅)进行的干法蚀刻图案,对于随后的硅显微机械加工所期望的形状的金属“环”或者轨迹可提供将要在底部晶片110的上表面70上进行蚀刻的安装零件的自对准,如于2000年3月6日申请的标题为“用于对多种表面零件形成图案的单个掩模平版印刷工艺”的共同未审美国专利申请09/519,165中所提供,其内容在此结合作为参考。可替换的,用于导电导线14以及金属环的金属化可通过分开的步骤实现。另外,可使用将金属化应用到导电导线14以及总的保护图案以及硬掩模的干法蚀刻的更多的传统工艺。
在步骤S50中,限定安癍零件,例如透镜22,32的凹坑20,30,前后盖子安装通道62,64,监测器槽11,光纤槽40,以及激光和背面监测对准基准的金属掩模也形成图案。制造显微机械加工零件的形成图案工艺包括形成金属环,通常为5到20微米,该金属环外接进行显微机械加工的区域。因此,传输线路,金属排列基准以及将要进行显微机械加工的区域的金属化通常在一个平版印刷步骤中完成。然后可执行具有较小的精确性的第二平版印刷步骤,将开口留在这些金属环上的抗蚀剂层中。这使得金属环的内边沿用作等离子蚀刻步骤的精确硬掩模,该等离子蚀刻步骤将从环内部去掉氮化硅或者氧化硅。在去掉抗蚀剂层之后,晶片可使它们的硅各向异性蚀刻。掩模材料上的改变可依据所执行的蚀刻的特别的蚀刻化学类型进行使用。制造显微机械加工零件的形成图案工艺包括从金属环内部去掉氮化物硬掩模。该内部为通过蚀刻进行显微机械加工的区域,其可通过在CF4或者其它包含等离子的氟中进行干法蚀刻而实现。这些技术的详细描述可在上述参考的美国专利申请09/519,165中提供。
选择地,如果需要电阻和焊料挡圈,表面金属层可在一个溶液例如碘或碘化钾溶液中采用附加的平版印刷步骤以及湿法蚀刻进行选择性的剥掉。在某些情况下,需要更高的单位面积上电阻率,希望能剥回扩散势垒层,并且采用附着层,例如Cr,NiCr,W,TiW,TiN,或者Ti。抗蚀剂层可为例如旋转涂覆的或者电泳抗蚀剂层。电泳抗蚀剂层可从Shipley Company,L.L.C.,Marlborough,MA,USA中商业得到。作为使用腐蚀工艺的可替换实施例,步骤S40到S60还可通过首先使金属向下设置,施加抗蚀剂层,并且湿法或者干法蚀刻该金属而产生图案而实现。
在步骤S70中,焊盘16可采用与上述披露的相同的腐蚀工艺进行沉积,焊盘16可例如从约80-20Au-Sn焊接剂或者其它合适的焊接剂中形成。焊盘16可形成得略微富锡,从而允许更长的回流时间。通常的厚度为,例如,2.5到3.5微米。焊盘成分通常设计成具有金属成分,例如金在基体金属化中以及在将要粘结的激光器和监测器单元片12,18所占的最终含量。
为了提供安装零件,可执行显微机械加工步骤,如进行各向异性湿法蚀刻。合适的蚀刻剂包括,例如,乙二基二胺邻苯二酚(EDP),氢氧化四甲基铵(TMAH),联氨,或者碱金属的氢氧化物,例如氢氧化钠,氢氧化钾,氢氧化铯,或者氢氧化铷等。该蚀刻剂与例如对于结晶平面的特定选择性,人工掩模材料的选择,均匀性,表面粗糙度,表面上的金属的防护,以及其它设计/制造考造考虑有关。
在步骤S80中,可通过例如锯法等机械方法执行凹入余隙表面50,52,54。锯法可在某些情况中的蚀刻上是令人满意的。蚀刻可在相邻的各项异性蚀刻安装零件例如第一凹坑20上进行,可能改变各向异性蚀刻的安装零件的精确位置上的分界线。各向异性蚀刻的安装零件的这种随后的蚀刻可改变设置在各向异性蚀刻安装零件中的光学部件之间的相对位置。机械方法的使用防止了需要设计从而保护每个蚀刻安装部件的暴露的外部拐角的补偿零件的必要性,以及在所蚀刻的安装零件上提供{111}侧壁。前后盖子安装通道62,64可通过切割而取代蚀刻提供,如图3B所示。在这点上,前后盖子安装通道62,64在蚀刻操作后形成。
可能需要钝化步骤,步骤S90,例如,如果需要在导电导线14之间得到电绝缘,并且底部晶片110的电阻率不能提供足够的电气绝缘。例如,PECVD氧化硅,氮化硅或者氮氧化硅可作为后安装通道64中的钝化涂层进行沉积。钝化涂层通常需要另一平版印刷步骤,该平版印刷步骤采用,例如,旋转涂层或者电镀抗蚀剂层,之后是干法蚀刻或湿法蚀刻,干法蚀刻或者湿法蚀刻例如打开触点或者焊盘表面的缓冲氧化物蚀刻(BOE)。该层的厚度通常为50到250nm或者如需要的,从而提供无管脚孔覆盖物。
为了提供设置在后安装通道64中导电导线部分,可执行另一组金属形成图案步骤,其与步骤S30-S50类似。在步骤S100开始,金属可形成图案,用于采用共形抗蚀剂层技术的在后安装通道64中的剥离。采用与上述相同的金属化结构。为了实现恰当的金属化,倾斜表面,例如后安装通道表面61,63,应该相对于气化角度进行设置,使得后安装通道表面61,63都接收足够的覆盖物。可替换的,可使用屏蔽掩模从而将设置在后安装通道表面61,63上设置的导电导线14上形成图案。采用与后安装通道64共形的湿法蚀刻从另一蚀刻晶片形成共形屏蔽掩模以及限定后安装通道64中的导电导线和电气轨迹的干法蚀刻都能使用。
步骤S100可通过干法蚀刻进行,该干法蚀刻例如采用50到250mTorr的氟进行的反应离子蚀刻。这允许氮化物支架的去掉,该支架可出现并从上表面70延伸并且悬挂在后盖子安装通道64,而不完全剥离该表面上的掩模层。在蚀刻过程中采用相对高的压强使得支架从两侧更多受到反应离子的攻击从而建立比表面更高的净蚀刻率。支架的去掉防止了支架下面金属上的屏蔽效应,例如后安装通道64的任一侧上的金属1轨迹之间的电气断续。支架的大小是一个函数,例如,为结晶平面以及蚀刻剂类型之间的刻蚀比率的函数。在这点上,某些蚀刻剂,例如EDP可导致比其它蚀刻剂明显更大的支架。如果不使用表面钝化,或者在随后的步骤中加入表面钝化,例如前面所述的当钝化深沟从而将导体馈送外部时,我们可去掉所有的不由金属或者抗蚀剂层掩模的表面钝化。
可以想到将光学部件例如透镜或者光纤采用焊接剂或者其它金属粘结表面(例如由EP0895111A1以及美国专利No.6,034,405中所述的AlO粘结)进行附着时,采用所蚀刻的金属屏蔽掩模与底部晶片110同时接触而提供焊接剂。瞬时液相(TLP)以及热激活扩散(TAD)焊料为了本申请的目的尤其有效。如果计划提供由焊料进行的光纤粘结,焊料依据所使用的粘结层级可提供在步骤S100中或者随后的步骤中。粘结层级,例如,与设计的复杂性(将要采用无机粘结等而进行粘结的光学部件的数量)有关。通常,焊料为3到5微米厚。均匀性以及厚度控制应当足够严密从而实现系统的预定的光学性能,例如,当在从激光单元片12到光纤42的光学部件之间使用无源对准时。
具有光学部件的光微型台100的总体将在步骤S130上执行。光学部件总体的顺序可以改变。然而,所使用的一种传统方法是采用合适的粘结材料例如焊料或者焊料玻璃首先粘结第一透镜22。为了得到工艺的最大效率,整个的晶片或者从晶片去掉部分的网格可同时在板上安装。接着可粘结激光单元片12。
压缩或者热压粘结是粘结光学部件的合适技术,因为可防止焊料层的反应直到单元片粘结步骤完成。焊料层的反应导致防止焊料为成功的单元片粘结而充分回流的成分改变。光纤段42,透镜22,32以及其它光学部件可有利地在基底上采用由本发明提供的热活性扩散(TAD)粘结技术固定在适当的位置。该技术通常在凹坑20,30,槽11,40或者硅基底的其它表面上使用至少三层粘结结构,在硅基底表面上光纤42或者光学部件进行固定。该结构包括由至少一个扩散抑制/势垒层分开的至少第一和第二成分层。
形成第一和第二成分层的材料在成分上不同,通常从金属和金属合金中进行选择。材料的选择基于在第一和第二成分层中进行互相扩散的原子能力,其结果是成分具有比作为原始沉积的第二成分层更高的熔点。适于第一和第二成分层的材料分别包括,例如,金和铟,铜和铟,银和铟,铜和锡,银和锡,以及铋和锡,金和铋。在每种情况中,较高的熔点金属通常设置较低熔点金属的下面。或者,如果成分1的薄膜焊料叠层在成分2的薄膜焊料叠层顶部,那么成分1将具有较高的熔点。除二元系统以外,可采用三元,四元或者更高级系统。多成分组合物可进行调整从而实现已知的效果。第一和第二连续层的厚度可基于例如用于制造粘结的指定熔点进行选择,随后的更高温度上,在热处理并且互相扩散出现后粘结,粘结将变得稳定。通常,这些层具有2到10微米的联合厚度。成分层可采用公知技术进行沉积,该公知技术例如,例如蒸发或者溅射技术的物理气相沉积(PVD),或者化学气相沉积(CVD)。所采用的特定技术与例如将要沉积的材料和厚度有关。
在制造过程中,第一和第二成分层之间的一个或多个扩散势垒层将使成分层之间的原子的互相扩散更小或者防止该相互扩散,直到基底承受预定阈值温度。当基底承受等于或高于阈值温度的温度时,扩散势垒层失去了其效用并且允许成分层之间显著的互相扩散,导致具有比阈值温度和第二成分层的熔点高的熔点的成分。适于扩散势垒层的材料包括,例如,铂,镍,TiW,TiN,Ta等。
扩散势垒层的厚度至少是有效抑制用于实际工作时间的成分层之间的互相扩散的厚度,该实际工作时间例如在不确定的室温下的十二小时以及在基底将要保特的部分粘结到其上(或者将两部分粘结在一起)的温度上的分钟或者小时的至少一种。所需要的时间,例如与将要粘结在相同基底上的部件的数量以及需要粘结这些部件的时间有关。扩散势垒层厚度将与,例如扩散势垒层以及成分层材料,该结构经历的热历史有关。通常,为了使层的成分的浓度在热处理后的最终结构中最小,希望使扩散势垒层的厚度最小。然而,该扩散势垒层可以需要的方式使用以修改最终结构的特性,从而例如使最终结构硬化或者软化,从而增加附着性,从而增加熔点,等。扩散势垒层厚度通常为5到100nm。关于成分层,扩散势垒层可通过公知技术,例如PVD或者CVD进行沉积。
TAD粘结层结构可选择地包括一个或多个附加层,例如,用于防止基底和第一成分层之间扩散的势垒层和/或用于促进基底和其上的层之间的良好的附着性的第一成分层下的附着层。例如,当第一成分层是金层时,铬势垒层以及镍附着层将设置在硅基底上。还可希望的是在第二成分层或者其它与大气接触的层上使用氧化抑制层。例如,当初级层另外氧化并且不希望使用真空,降低大气压或者焊料时,贵金属例如金的薄层或者薄聚合物膜是有效的。其它材料,例如,稀土金属例如Lu,可选择地添加,从而有助于增加金属和氧化物之间的粘结效果。
粘结层结构通常在基底表面上一个层一个层地形成。可替换的,粘结层结构的一个或多个层可预先形成并且然后粘结到基底上。
在光学部件和第二成分层之间可形成压缩或者热压粘结。这可通过例如在铟层上的金薄层(例如0.1微米)实现,其中铟层在其下具有TAD系统的其它元件。金的机械位移通过透镜到用这种系统涂覆的凹坑中的压缩或者热压出现,其后为退火从而对于TAD系统形成指定回流温度。该工艺保护铟表面不被氧化,并且还允许透镜表面开始与铟进行机械和化学接触,从而产生进行金属粘结的氧化物。选择地,压缩或热压粘结在形成在第二成分层上形成的表面氧化物的出现中形成。薄膜氧化物可在粘结过程中被击碎,因此将原金属暴露在第二成分层中,该第二成分层能产生Si-O-金属键。
没有联系到特别的理论,我们相信该工艺机械变形其表面并且毁坏第二成分层上出现的表面氧化物,因此在光纤42或者元件以及第二成分层之间形成粘结。例如,当透镜由硅氧化物涂覆以及结构从金(成分层1)以及铟(成分层2)结构中形成时,可形成Si-O-In键合界面。
然后对该结构进行加热到扩散势垒层不再有效防止第一和第二成分层之间原子的互相扩散时的温度。该温度可高于或者低于成分层熔点,但通常低于每个成分层的熔点从而使光学部件的移动最小或者消失。该温度与,例如与成分和扩散势垒层的材料有关。通常,热处理温度可为低于回流温度的任一温度,例如,低于形成粘结的温度25到100℃。在该升高的温度上,并且足够的时间,扩散势垒层破坏,并且在第一和第二成分层之间出现原子扩散。例如,依据热处理的温度和时间,以及成分层和扩散势垒层的厚度和成分,可导致均匀成分,各相混合方案,或者粘结结构的分级成分。所得的结构具有比第二成分层的热处理温度和熔点都高的整体熔点(结构内的熔点可作为成分不均匀的结果而改变)。结果是,光学部件可安全粘结到合适的位置并且能设置在比在粘结元件中使用的温度更高的温度上经受进一步的热处理工艺。
通过这种技术,大量的光纤,透镜22,32以及其它光学部件可精确粘结并且锁定到基底表面上,并且在粘结层级上具有大量的适应性。由于在粘结光学部件中使用相对低的温度,可使用标准焊料例如Au-Sn共晶体而没有由高温度工艺所导致的相反效果。由于所粘结的光学部件的高温稳定性,这些标准焊料可用于高成本设备例如激光单元片与基底表面的充分粘结。
薄膜Au-Sn共晶体以及近晶体焊料在高于其熔点上具有相对短的工作寿命(例如10到45秒)。因此,希望将底部晶片110的温度保持在其熔点以下,并且当激光单元片连接到基底上时尽可能低。这可通过激光单元片12的压强和脉冲热的结合而将每个单元片合适定位而实现。如果其不具有沉积在其上的焊料,同样用于背面监测发光二极管18。在所有的光学部件粘结后,可对底部晶片110进行加热,使得焊料为固态互相扩散,增加其有效熔点到足够高的温度,该高温将防止在任何随后的热处理(盖子粘结,挠性连接,板连接等)过程中的任何显著的单元片运动(由焊料漫延、驰豫、表面张力成为新的状态等所导致)。激光单元片12可进行粘结,例如,具有高精确单元片粘结设备,这些设备从例如德国的Karl Suss或者日本的Toray等公司中购买到。这种设备可安装红外线可视记录检测使得机器可通过激光单元片12和/或底部晶片110成像,以便看到激光单元片12和光微型台100的基准,从而不被破坏地确定单元片对准,并且使用该信息确保高精度粘结。由于希望采用高精度粘结设备粘结单元片不利用激光单元片12的光轴对准的主动反馈的好处,因此我们希望微型台部分和激光单元片12没有妨碍通过基准部分以及用于采用IR照相机进行的非破坏性对准检测的视线的金属。另外,激光单元片12最好构造成具有有源区域和对准基准,如果存在的话,其在相同的平版印刷步骤中限定。这使得激光器,波导以及微型台可精确对准。
一旦将要安装在盖子200内(例如,波长锁定器,或者波长选择器,如果需要在内部之上的隔离器等)的激光单元片12,背面检测发光二极管18,第一透镜22以及任何其他光学,电气或者机械器件粘结在适当的位置,可执行盖子连接步骤,步骤S140,如下所述。可替换地,在粘结盖子200之前,剩下的光学部件可在步骤S160上附着在底部晶片110上。
关于盖子连接,在步骤S140中,盖子粘结材料240,例如焊料玻璃,可以膏状形式施加在盖子密封表面226上。可替换地,焊料玻璃可例如通过筛选法,压印法或者分配操作进行施加。粘结材料240可在盖子200上进行固化,从而消除在凝固过程中形成的析出气体。然后将盖子晶片210施加到底部晶片110上(或者将盖子晶片部分施加到底部晶片部分),如图4C所示。然后盖子晶片210以及底部晶片110可在某个温度上,例如部分真空、干氮或者其他干的惰性气体中高于100摄氏度,进行烘烤从而干燥晶片110,210。然后将晶片进行加热到焊接玻璃的粘结温度上,然后将压力施加在盖子晶片210和底部晶片110之间从而在每个盖子200和各个光微型台100之间形成密封。如果需要,盖子晶片210和底部晶片110可密封在几个大气压的氦气氛中,使得盖子200膨胀并且可以通过检测膨胀程度来进行整体泄漏检测。
盖子晶片210可具有介电层,例如设置在盖子晶片210上的氮氧化硅层,包括盖子侧壁220,盖子侧壁220可作为防反射涂层。另外,盖子晶片210可具有在盖子晶片210的上表面上预先形成图案的硬掩模。这使得被粘结组件在分开的通道228中进行干法或者湿法蚀刻,从而提供开口232,通过该开口在电气和/或光学探测可从盖子晶片210的上表面上的微光器件封装上执行并且早于微光器件封装的单一化而执行,如图4B和4E所示。可替换地,我们可通过上表面进行部分切片以便分开盖子200,从而提供开口232以及在上底部晶片表面70上探测金属化。这使得在步骤S155上可进行激光单元片老化以及高频测试。
对于在第二透镜32设置在单个微型台100之前盖子200已经在底部晶片110上进行密封的情况,部分光束借助于晶片110中的相应倾斜表面向上偏转通过晶片210中被蚀刻或者被切片的开口232。在晶片层上测试光微型台100的能力可由光束偏转器提供,该光束偏转器引导光束到用于测试的光微型台100的平面。由于光束被引导向上离开光微型台100,因此当多个这种光微型台100互相以晶片形式连接时,光微型台100可进行测试。
对于各向异性蚀刻(100)硅底部晶片110,倾斜表面可包括{111}平面。倾斜表面可以是第三凹入余隙表面54加入之前的第二透镜凹坑30的倾斜侧壁33。该倾斜侧壁33可沿着光轴进行设置并且面对激光单元片12的方向,如图1A和2A所示。可替换地,斜面可为光纤槽40的倾斜侧壁41,如图2B所示。为了增强斜面的反射率,可进行金属化或者其他的处理。在两种情况的任一种情况下,盖子晶片210中的切割的或者蚀刻的开口232可位于使得从倾斜侧壁33,41折射的光导向通过开口232的位置。对于老化操作的情形,光信号可形成图像并且进行分析从而使得多个设备可同时有效地进行监测。如果组件需要光被表面垂直发射时,这些表面可原封不动,并且在这些情况下,如果需要的话,(100)硅的切割轴可提供45度的反射表面。
第二球透镜32,在盖子200的外部,可采用例如焊接玻璃,环氧树脂,或者其他合适的材料和方法进行粘结。然后对微型台100进行切片,并在一端上留下开口式光纤v-槽易于接收光纤段42。可替换地,底部晶片110可靠近第二透镜32进行切片,并且可有效对准到基准或套圈组件上,如图8B所示。
2.具有密封导电通孔的微型台
a.各向异性蚀刻
参考图13A-13C,制造根据本发明的具有密封导电通孔90的光微型台100的示范性工艺的流程图。该过程示于图14A-14G。该工艺与如图12A和12B所示的用于制造导电导线14的微光器件封装500的工艺的几方面类似。因此,包括相似的工艺步骤的地方,在图12A和图12B中使用的相同的附图标记也使用在图13A-13C中。然而,尽管相同的附图标记对于专门的步骤使用,对于通孔工艺和导线工艺,这些步骤不必完全相同,并且几种可能的不同标注在下面的正文中。关于在底部晶片110上制造单个光微型台100,通孔流程以及工艺与导线工艺相类似地以实施例的方式进行描述。然而,我们知道实际上可以在单个底部晶片110上制造,装配以及测试多个光微型台100。
现在转到图13A,在步骤S10开始,提供底部晶片110,例如(100)双侧抛光硅晶片。底部晶片110的厚度可方便地为525±25微米厚度,并且对于高频应用,电阻率通常高于1000ohm-cm,尽管低电阻率也可使用。在步骤S20,低应力氮化硅的第一硬掩模301在底部晶片110上提供,如上所述,图14A。
在步骤S21,设置在底部晶片110的后表面72上的硬掩模301可采用标准平版印刷以及干法蚀刻技术形成图案,例如,对每个通孔提供开口304,如图14B所示。在步骤S22,然后底部晶片110可通过硬掩模301中的开口304进行各向异性蚀刻直到锥形凹坑303形成通过底部晶片110,从而留出跨过开口304的孔径307的氮化硅硬掩模隔板302。锥形凹坑303的侧壁305包括{111}结晶平面表面。基于已知的底部晶片厚度,侧壁角度以及希望的隔板跨度307,我们可计算将要设置在后表面72上的目标开口304的尺寸,从而形成目标通孔307。
在步骤S22蚀刻凹坑303步骤的过程中,开口304上的硬掩模301可进行底切,从而在开口304的侧面上形成氮化物支架306。在凹坑侧壁305金属化之前,可以根据需要去掉该氮化物支架306,这样氮化物支架306不能形成防止支架306下的凹坑侧壁305的金属化的屏蔽。防止支架306下面的金属化可防止与后面进行沉积的金属层的电气连续性。
在步骤S23,氮化物支架306可通过使用例如在通常为50到200mT的压强下的CF4进行的干法蚀刻步骤来去掉。该压强通常为足够蚀刻在晶片蚀刻侧上的氮化物支架306,该晶片的蚀刻侧通常悬挂凹坑侧壁305上而不去掉隔板。由于氮化硅可由氟离子以及其他含氟的种类在蚀刻过程中进行化学处理,并且因为压强足够高从而在短距离上允许原子显著的散射,因此氮化物支架306的两侧都被蚀刻,然而,因为或者在一侧上互相粘结到硅晶片上或者具有屏蔽(面对反应器板)的表面等,因此在所有其他的表面上只有一个氮化硅的表面进行腐蚀。因此,氮化物支架306可去掉而不用完全去掉孔径隔板302或者底部晶片110的剩余部分上的氮。该步骤可在其他阶段执行,例如在使用例如涂层的下一个氮涂层之后,但应该在金属化之前执行,从而确保在表面和侧壁305上金属化的连续性。该步骤可以省略,例如,在沉积工艺存在明显散射的地方,在氮化物支架很小的地方,或者使用共形导体沉积的地方。代替氮化物,可使用其他任何不进行自腐蚀的硬掩模,例如氧化硅或者二氧化钛。
接着,在步骤S24,提供第二层低应力氮化物308,如图14C所示。第二氮化物层308为厚度(例如200-500nm)与第一氮化物层301类似的选择性的绝缘层,并且用于电气地隔离通孔90。
在该工艺中的这个阶段,一个平面310由氮隔板302和一个蚀刻表面72覆盖,一个或多个蚀刻凹坑-通孔包括端接在氮化硅隔板302的{111}平面,该氮化硅隔板为第二氮层308的厚度加上第一氮化硅层301的厚度的两倍。当前的通孔方法,无论用湿法蚀刻或者干法蚀刻执行,提供底部晶片110的至少一个表面,该表面具有高度的平面性,允许抗蚀剂层的精确旋涂以及任意的接触平版印刷可将随后的安装零件形成图案,随后的安装零件用于硅台上的光学部件的对准。另外,当前的工艺使得我们可以在任何金属或者焊料施加之前实现第二氮镀层,使得可利用具有所确定应力的共形涂层的LPCVD硅氮化物以及氧化物。
现在转到图13和14所示的工艺,接着在步骤S25上底部晶片110可使其后表面72用金属层309进行金属化,如图14D所示。选择地,该金属化步骤可通过屏蔽掩模,通过共形剥离抗蚀剂层,通过叠层图案抗蚀剂层等执行。在侧壁305上使用形成图案技术的好处是多于一个的独立导电导线14可通过单个台以及隔板形成图案。例如在具有单个隔板的矩形台中,一组馈送孔可通过将后表面金属,侧壁金属形成图案,恰当地将氮化硅隔板形成图案并且蚀刻,以及将顶表面金属形成图案而制成。
该结构使得可以形成带状线,该带状线在侧壁下以及通过通孔维持改进的阻抗,以及电导体更高的组装密度。以这种方式,单个台可变成用于多个,且有利地许多导体的管路。
可替换地,例如通过以旋转式行星轨迹蒸汽而进行沉积。金属层309例如通过采用上述的抗蚀剂层方法的湿法蚀刻形成图案。该金属化提供金属层309(例如,Cr/Ni/Au,TiW/Au,或者Ti/Pt/Au),其从后表面72覆盖氮化硅隔板302,并且从凹坑侧壁305向下将导电性提供给后表面72。该金属可进行选择以便具有有效的机械强度,从而在氮化硅隔板302去掉或者部分从底部晶片110的片面侧部分去掉之后变成独立存在的。这提供跨越孔径307的导电密封。例如,20nm厚的Cr,随后为200nm厚的Ni,由500nm厚的Au覆盖形成的堆叠层具有有效的机械强度从而在孔径307上跨度为例如20到35微米。可以使用更薄的或者更厚的金属层。另外,如果需要更大的强度,更大的隔板或者更高的电流,我们可以经济地电镀这些金属至更大的厚度。
如果在后表面72上使用覆盖层金属化,形成图案将使得多个电气接触分开。该步骤可在方便的任意一时间上实现。形成图案可采用商业提供的抗蚀剂,例如Shipley Company,L.L.C.,的电解沉积抗蚀剂层或者叠层抗蚀剂层而执行。还可以机械地切片金属,渗透到硅中少量从而将薄膜电气分开到分开的通孔90中。作为另一个变形,可以从底部晶片110的两侧湿法或者干法蚀刻通孔,其使得可以在相同的空间中得到更大的组装密度。例如,如果使用双侧湿法蚀刻,每个倾斜的{111}平面可靠近另一个。当需要硅的精确厚度以形成接地带状线或者接地共面结构时这是十分有效的。在晶片的后侧或者当通孔不在盖子侧上使用时,清除任何硅拓扑的合适高度的焊球或者焊柱可施加到硅组件上。这可例如通过焊球枪,掩模模板,电镀等实现。组件可直接表面安装到PWB上,如果需要的话。此外,组件的电气粘结焊盘以分开后它们直接附着在引线框设备上的方式进行设置。
转到图14D,底部晶片110具有通常用金封顶的密封金属层309,其从后表面72向下到一个或多个侧壁下的提供电气连续性,并且覆盖氮化硅隔板302。在步骤S26中,底部晶片110用抗蚀剂层进行涂层,形成图案,并且从平面侧进行干法蚀刻,从而从隔板去掉氮化硅而不用蚀刻设置在通孔孔径中的金属层309,如图14E所示。任何图案可从平面310上进行开口。例如,我们可以在金属层309上的氮化物层301,308中开出一组孔,格子或者其他形状出来,如果需要,使得氮化物可提供附加的机械稳定性。金属层309可用作等离子蚀刻的合适的蚀刻停止。此外,在工艺中任何合适的阶段,通孔90可用介电材料311填充或者更厚电镀,如图14G所示。如上所述,氮化物还以这种方式形成图案,即如果金属在两侧和侧壁上,多个导体都能形成图案。通常,使用矩形形状,从而更容易地制成图案和间隔。
从工艺中的该阶段开始,处理用步骤S30到S80以及S110到S160以与相对图12的流程所示的导线方法提供的类似的形式继续下去、参考当前通孔方法的图13,步骤S30到S50可提供平面310的金属化从而提供与通孔90的金属层309进行电联通的导线14,如图14F所示。步骤S90可从当前的通孔法中省略,这是因为导电导线14不需要在后盖子安装通道64中。然而,为了将盖子200密封到底部晶片110中,步骤S100可变形从而在盖子安装区域60(或者作为密封环,如果不使用挖沟的话)的基座上提供金属层,而不是在后盖子安装通道64中提供导电导线。
再者,可使用例如上述的标准金属化,从而提供密封环,该密封环为盖子200的密封表面226的形状和大小。金属或者玻璃焊料可沉积在底部晶片110或者在盖子晶片210上,或者在两者之上。使用加湿到硅底部晶片110,或者底部晶片110上的其它任何绝缘介电薄膜上的焊接玻璃或者其它粘合剂,从而密封盖子200,那么将不需要金属化步骤S100。金属层例如通过屏蔽掩模,通过剥离,通过金属的化学蚀刻,微电子领域中已知的其它方法可施加金属层。对于本领域的技术人员很明显,其中已经指定蒸发或者溅射的大多数步骤还可使用一种或者多种金属的电化学以及无电镀化学金属沉积方法(电镀),例如,采用种晶工艺以及形成图案的掩模,如果希望的话。这对于相对厚的层,例如厚含金层,该含金层例如厚Au-Sn层以及某些RF传输线路尤其有效。可使用化学电镀,CVD以及PVD的任意组合。
另外,关于盖子连接,在步骤S140,盖子粘结材料240可包括前述方法所述的焊接玻璃。可替换地,由于导电导线14并未存在于当前的通孔方法的后安装通道64中,该盖子粘结材料可包括在盖子密封表面226,底部晶片110或者两者之上的金属焊料,例如3到8微米厚的80:20Au-Sn。不具有金属焊料的表面通常用加湿的并且附着的金属化,例如Cr-Ni-Au,进行金属化,尽管可使用其它材料。例如,Pt可适当地使用或者与Ni结合使用。选择地,以使得金属焊接可选择地在给定区域中流动的方式,Au层可形成图案,或者整个密封环可形成图案,在盖子连接步骤S140中需要的地方传送或多或少的焊料。如果存在过渡或者更高表面粗糙度的区域并且更厚的金属焊料层需要用于在那个区域进行密封,这种设置是有效的。该技术可在Au-Sn层下还可对底下的Cr-Ni粘合剂/势垒层形成图案中使用,这是因为与用Au覆盖的金属薄膜相比,金属焊料将不容易加湿氮化硅或者硅表面。
密封工艺通常包括用粘结激光单元片12在例如具有惰性气体如He,Ar或者N2或者真空下等可控制的环境里烘烤盖子晶片以及底部晶片110,从而去掉任何存在的水蒸气。然后将盖子200用某个压强加压,并且将该部分加热到金属焊料的回流温度。选择地,可在达到回流温度之后施加压强。在冷却时的He压下密封是有好处的,所密封的区域具有比大气压明显高的压强。该技术将可以在形成密封后的任何时间检测密封性等级或者组件中的泄漏率。这使得盖子200的偏转或者曲率在任意一时刻上采用自动干涉仪进行检测。这种测量的结果可提供组件的密封性等级以及是否它已经包含可测量的泄漏的指示。例如,当组件容积小于1000nl时,大于10-8托升/秒的泄漏的停止时间相对较短,使得盖子200因此改变它们的曲率。工艺步骤的平衡可如上述用于导电导线方法进行。
除上述的用于形成通孔90的工艺以外,几种变形是可以的。例如,在上述方法中,金属层309形成在氮化物隔板302的蚀刻侧上并且氮化物隔板302从平面310上切割。可以相反,首先将金属层放置在氮化物隔板302的平面310上,并且从后表面72切割氮化物隔板302。该工艺将通过使用共形抗蚀剂层而具有辅助作用。此外,可省略第二氮化物层308,例如,如果电气设计不需要该绝缘等级。如果需要更高等级的电气隔离,热氧化步骤可相反执行,或者在第二氮化物层308形成之前,其将有助于防止针孔。当通孔90通过干法蚀刻形成时这是非常有效的,在其蚀刻表面上具有高的拓扑。
对于晶片加工和显微机械加工的领域的技术人员来说,其它材料和方法可形成从而达到相同或类似的结果是显而易见的。例如,激光机加工可代替蚀刻步骤,某种等离子增强化学气相沉积(PECVD)可形成对于代替LPCVD而使用的质量足够的膜。可使用有机化学气相沉积(OMCVD),从而降低热聚集并且使得步骤的顺序显著改变,例如在晶片加工后形成绝缘通孔而不是在金属化步骤之前。镍和金的非电镀种晶以及化学镀层可实现取代气相沉积法。当其他方法的深镀分散能力太有限时,这能有效地施加到高纵横比通孔上,例如采用氯化钯/二氯化锡溶液,其中各部分进行浸湿并且搅拌。氯化盐在酸浸渍中还原从而再现表面上Pd和/或Sn种晶。然后将各部分投进非电Ni溶液中并且进行电镀层。如果Ni用张应力进行电镀,将发现用于在通孔的内侧形成密封以及粗糙密封的最好结果,从而使得将镍强加成与通孔凹坑303进行密切接触。然后在无电镀金中浸渍。
b.孔径化通孔
图15A-15H示意性地示出了根据本发明的用于制造导电密封通孔的另一工艺,该密封通孔具有多个形成在其中的孔径。参考图15A,蚀刻停止层602在晶片610上形成。该蚀刻停止层602为在随后的通孔形成蚀刻中有效地用作蚀刻停止的材料。该蚀刻停止层可为,例如,硅氧化物如由热氧化形成的二氧化硅,或者其他公知材料。该蚀刻停止层沉积到这一厚度,即使得在对后的蚀刻过程中不完全腐蚀。通常,厚度从0.5到2微米,例如,从1到2微米。
参考图15B,蚀刻停止层通过平版印刷以及干法蚀刻处理形成图案,从而形成蚀刻停止图案603。在蚀刻之后剩余的图案可为相同或者不同的几何图形,并且在将要形成的通孔的外围上进行延伸。
为晶片610的一个表面上的未来自由存在的隔板提供合适材料,厚度以及应力的结构涂层604。该结构涂层604可包括一个或多个层,例如,低应力氮化硅层,多晶硅层,或其组合。结构涂层604通常厚度为0.2到1微米。在形成蚀刻停止图案603以及结构涂层604中所使用的材料依据例如蚀刻剂可以是相同的,也可以是不同的。可以使用相同的材料,例如,如果将要形成的隔板的材料可在通孔蚀刻工艺中用作合适的蚀刻停止,或者如果在形成隔板的通孔蚀刻工艺中具有足够高的均匀性。结构镀层采用平版印刷以及蚀刻工艺形成图案。为此,金属掩模可选择地使用。
参考图15C和15D(16C的部分放大图),通孔606在氮化物层604以及晶片610中从与蚀刻停止603相对的第一侧向下蚀刻到蚀刻停止。该蚀刻通常通过干法蚀刻例如深度反应离子蚀刻进行各向异性执行。氮化物以及硅的蚀刻可以在相同或者不同的步骤中执行。通孔深度与各种因数有关。该尺寸可为,例如在直径上为150到250微米,在深度上约为500微米。该通孔可具有各种形状,通常为柱状。
参考图15E和15E’(图15E的上下视图),随后处理先前形成的隔板的图案。通过平版印刷以及一个或多个蚀刻处理,例如反应离子蚀刻等的干法蚀刻,多个孔径609形成在蚀刻停止图案以及结构涂层中。去掉在结构上剩余的掩模。该孔径可具有各种形状,例如,环形,方形,矩形,弧形等,以及其组合。例如,如所示出的,孔径609所有都可以是环形的,或者,可替换地,可包括各种小孔形状,例如,以共轴形式围绕中心圆的一系列圆弧等。孔径的尺寸将使得它们随后用金属或者其他导电材料例如掺杂的多晶硅进行填充。通常,孔径609具有1到3微米跨度的至少一个轴。当使用到高频中时,所采用的设计使得信号可从晶片的一侧以最小失真和损耗传递到另一侧。此外,孔径609的图案可对于一个或多个参数进行优化,该一个或多个参数例如机械强度,导电性,填充的简易性等。相对通孔的隔板的表面通常为平面,例如,在几微米内,使得对于旋涂以及精确光刻是合适的。
参考图15F,接着在晶片上形成抗蚀剂层掩模,并且通孔的侧壁用一个或者多个介电层605进行钝化,该介电层例如低应力氮化硅的硅氮化物,例如热二氧化硅或者低温氧化硅等的氧化物,以及其组合。介电层605在随后的处理中保护通孔侧壁的暴露区域。有益地,介电层可包括热二氧化硅层作为第一层,从而减小或者消除针孔的出现。介电层605通常共形沉积到0.1到0.25微米的厚度,并且将不关闭孔径609。任意地,介电层可在隔板608中的孔径609形成之前形成。
参考图15G和15H,接着对通孔606进行密封,例如用一个或多个金属层612。该涂层可施加到晶片的一侧或者两侧,并且施加到孔径609上,从而在其中形成栓塞。一个或多个金属层可包括,例如,一个或多个附着层,扩散势垒层,顶部导体层。金属层612可包括,例如,钨,钛,铂,钯,铬,铜,铬,镍,金以及其合金和它们的组合,例如Cr/Ni/Au,Cr/Au,Cr/Cu,Ti/Pt/Au等。尽管从技术上说不为金属,该结构可进一步包括多晶硅层,例如,掺杂的多晶硅。该金属层可例如通过溅射法或者蒸汽法等PVD,CVD或者非电解或者电解电镀处理进行沉积。其可以通过CVD或者电镀处理进行选择性地沉积。现在描述示范性的通孔密封处理。
第一示范性工艺采用选择性的CVD沉积的金属,例如,钨。选择性金属层的种晶层可首先沉积在将要形成金属的表面。例如,在为钨的情况下,例如高掺杂多晶硅的多晶硅膜可作为种晶/附着层进行沉积。该多晶硅层在晶片610的上表面和下表面以及通孔侧壁任意形成图案。通过CVD,例如采用WF6,钨可选择性地并且共形地沉积在多晶硅上,并且可填充隔板的孔径609。顶表面中的孔径609可替换地通过导电栓塞等本领域的技术人员公知的技术来进行封闭。
另一示范性工艺采用非电镀涂层。首先采用各种化学处理对晶片进行种晶化,例如PdCl/SnCl,用金属例如镍的还原和非电解电镀,来填充孔径609并且在通孔侧壁上形成层。随后为浸渍电镀处理,例如,浸渍金。可替换地采用其他材料以及电镀处理。所沉积的薄膜厚度应该为最大孔径609的宽度的一半。金属可用压缩应力形成,从而有助确保表面附着性。
用于将通孔金属化的另一示范性工艺采用蒸汽法,该蒸汽法采用例如旋转行星式蒸汽系统。
一旦通孔密封性插入,可形成附加的表面金属图案和/或显微机械加工步骤,从而产生微型台部件。在本申请其他地方描述的传统工艺覆盖了处理顶部(平面)表面的方法。包含通孔609(如果它们不插入的话)的后侧可用抗蚀剂层形成图案,例如采用电泳抗蚀剂层,该抗蚀剂层例如从Shipley Company,L.L.C.买到的EAGLETM抗蚀剂层,叠层抗蚀剂层,或者屏蔽掩模。该通孔任意用金属例如铜或者镍通过PVD,CVD或者电镀处理进行填充。
尽管上述的导线和通孔形成工艺步骤已经以示意性次序进行呈现,本领域的技术人员清楚该步骤中的几个步骤次序是可以改变的。依据方案,我们可以改变这些步骤,它们的次序,插入几个另外的步骤,层厚和/或改变它们的目标应力等。此外,在硅显微机械加工领域的技术人员将知道清除步骤,合适的平版印刷,剥离,涂层技术等的合适插入,因为这个原因在此并未提及。此外,通常围绕导电方块形成导电槽,因此可以促进共面效果以及带状线型传输元件。另外,底侧上的通孔图案可以有助于产生例如管脚和插座的互连的方式,或者有助于安装随后进行回流从而形成凸块的焊料球的方式形成。
3.盖子制造
盖子200可从硅盖子晶片210进行蚀刻从而提供盖子200的网格,如图4B所示。该网格包括空腔230的网格,每个空腔230围绕着侧壁。在示范性实施例中,四个侧壁220在每个侧壁端部互相交叉,从而形成限定每个空腔230的矩形周边。如图4B的顶视图所示,侧壁220延伸到纸面以外。每个盖子200之间的空间还可进行蚀刻或者切片或者两者同时进行,选择地到与盖子空腔230相同的深度,从而在盖子200之间提供分开的通道228。
根据本发明,为形成图4B所示的盖子200的蚀刻网格,提供各种方法。方法中主要的改变在于蚀刻技术以及所使用的盖子晶片210。蚀刻技术以及盖子晶片210的选择,例如与实现需要的侧壁220的抛光的工艺设计,性能以及盖子200的最终尺寸有关。除盖子侧壁以外或与盖子侧壁可替换的,在光可通过盖子顶部250或者通过其附着的基底进行投影的情况下,这些表面的抛光还影响进行选择制造盖子晶片210的蚀刻类型以及晶片(例如SOI)类型。
因为最小的厚度可使当导电导线14在盖子200以外通过或者当例如使用密封玻璃时在该盖子200下的介电层下通过时的组件密度最大并且RF性能影响最小,因此可对侧壁厚度进行选择从而在给定的合适机械性能下尽量薄。在导电导线14传输RF功率或者信号的情况下,希望RF的不连续性最小。因此我们可以想到保持壁宽为沿着导线14传输的最高频率上的RF波长的一小部分,或者使分界条件下的这种改变上的场相互作用最小或者控制该相互作用。较大的盖子壁厚可使用在导线尺寸中的改变,从而补偿介电常数或者设计频率上的吸收系数中的净改变。然而对于较小的盖子200,减小不连续性大小本身是有好处的。对于RF设计领域的技术人员来说很明显,这些因素与零件的大小以及波长有关,并且怎样最佳处理RF传输的不同决定将在各种频率下作出。例如,在更高频率下,如果信号在硅表面70上以及盖子200下传播,可使用基底上的厚氧化物以及非常薄的侧壁(如10到50微米)。以这种方式,具有更高介电常数材料(如,硅以及焊接玻璃)的场相互作用可最小化。
通常,可使用(110)硅晶片的非常低粗糙度的各向异性深硅干法或者湿法蚀刻,或者这两种工艺的结合,以制造薄侧壁220的网格,从而提供用于密封盖子安装通道62,64,66,68或者改变底部晶片110的表面的开放式空腔230的网格。垂直的深度蚀刻可例如采用Bosch或者Cyrogenic工艺或者任何其他合适的各向异性干法蚀刻工艺而实现。可使用商用等离子蚀刻器,例如由Alcatel,Surface Technology System,以及Plasma Therm制造的。
所蚀刻的侧壁220通常在至少是光通过侧壁220的那些区域具有高度光滑表面。例如,小于25nm的粗糙度能在1250到1650nm波长上保持10%以下的散射领耗。很清楚的是给定粗糙度以及给定波长的散射度是一个例如存在的表面粗糙度类型,光波长以及入射角的函数。指定侧壁高度以及盖子厚度是例如安装在空腔内的设备高度以及所需要的表面积的函数。
a.硅的干法蚀刻
根据本发明的制造盖子网格的第一方法包括硅盖子晶片210的干法蚀刻,例如各向异性干法蚀刻。硅晶片210可包括任意标准取向,例如(100),(110)或者(111)硅。干法蚀刻可采用例如Bosch工艺的交替钝化和蚀刻循环来执行。通常,该循环具有短的时间间隔以及相对慢的速度。后期抛光工艺可选择使用,从而有助于得到预定的表面粗糙等级。这种抛光可包括,例如,氧化以及剥离工艺或者各向同性化学抛光。
b.硅的SOI干法蚀刻
在与上述方法类似的方法中,盖子200的网格可通过干法蚀刻进行制造,干法蚀刻为例如SOI盖子晶片210的各向异性干法蚀刻。该SOI盖子晶片210可包括,例如,设置在处理层(例如,400微米厚)以及器件层(例如,40微米厚)之间的厚氧化物层(例如,1微米厚)。盖子顶部250由器件层形成并且盖子侧壁220从处理层形成。
尽管S0I盖子晶片210通常比相同质量的硅晶片价格昂贵,但当氧化物层用作蚀刻停止时,SOI晶片的干法蚀刻可提供更好的蚀刻深度控制。跨越晶片的蚀刻深度的改变可最小化,从而得到均匀结构以及平滑,均匀的器件层(或者盖子)表面。
对于上述的两种干法蚀刻法,可使用干法蚀刻以限定具有不同于一个盒体的四个平面平行侧表面的形状的光侧壁220。例如,在一个或多个硅表面上,例如在侧壁220上,可限定形状,如格子,棱柱,或者圆柱形透镜。因此,所添加的光学性能可结合到盖子200中,从而启动更复杂的光学和机械设计。
c.硅的湿法结晶蚀刻
根据本发明的用于制造盖子的第三方法包括(110)硅的结晶蚀刻,其中沿着[110]轴形成掩模孔径的所选择的边沿的对准。然后该结晶蚀刻通过掩模孔径采用已知的结晶蚀刻例如基于KOH和EDP的蚀刻进行蚀刻而实现。当恰当地对准到(110)晶片的表面上时,蚀刻形成多个盖子空腔,每个空腔具有两个平面平行对置侧壁,其基本上与盖子晶片的平面垂直,并且具有非常光滑的侧壁表面。
在该方法中,抑制<111>蚀刻速度是非常有益的,这是因为{111}平面产生平面垂直侧壁,用于光IR传输。例如,对{111}平面的蚀刻速度大约比对{100}平面慢60到150倍。{111}平面相对{100}平面的蚀刻速度对于不同的蚀刻剂而改变。蚀刻速度之间的差可用于帮助平面化{111}表面。例如当掩模和硅晶片的未对准产生阶梯缺陷时,当开始表面粗糙度太高时,和/或当需要更大程度的侧壁平面化时,这可能是需要的。空腔的其它两个侧壁可用相对第一垂直侧壁成例如70.5度角度的垂直侧壁成型。可替换的,具有90度角的盒子可进行蚀刻。
还值得注意的是当单独使用湿法结晶蚀刻时,在蚀刻过程中可产生小度的楔形。我们认为楔形可使得通过壁的准直光束发生偏转。没有想要由任何特别的理论进行限制,我们相信楔形由慢的{111}冲击速度和被蚀刻的侧壁220的顶部暴露在该冲击中的时间比仅在蚀刻结束时或接近结束时暴露的侧壁的底部处的{111}平面的区域长的多而引。改变搅动方法,减慢蚀刻速度或者改变结晶平面的相对蚀刻速度将减小或者基本消除这种影响。
d.SOI中的湿法结晶蚀刻
作为另一种盖子制造方法,SOI晶片(上述类型的)可使用在上述的湿法结晶蚀刻方法中。利用SOI,绝缘层可用作蚀刻停止。与硅晶片蚀刻技术相比,SOI晶片的结晶蚀刻可导致更均匀的盖子顶部厚度以及使光束通过盖子顶部250的合适平滑度。
e.干法以及湿法结合的蚀刻
仍然作为本发明的盖子制造方法,与随后的湿法蚀刻步骤一起,可在上述的SOI晶片中执行干法蚀刻例如深度反应离子蚀刻。该方法包括,首先,参考“硅中的SOI干法蚀刻”的上述的干法蚀刻步骤。该干法蚀刻步骤可以提供侧壁220,221的方式执行,侧壁220,221是光学平滑并且与盖子晶片210的平面垂直。该干法蚀刻可与对准到结晶轴的掩模一起小心进行,这样使得由随后的湿法蚀刻步骤只要求去掉极小的表面不规则。
为了调整任何得到的粗糙度以及从垂直的角度变化,然后可执行结晶湿法蚀刻。该湿法蚀刻通常进行几分钟直到30分钟,这依据所需要的表面平滑的程度。优选的是采用更短的蚀刻时间实现平滑。因此,希望在最初的干法蚀刻步骤中实现低的表面粗糙度(例如,小于50到100nm)。
切口可通过暴露出在结晶后蚀刻中进行冲击的其它结晶平面而减小{111}分界侧壁表面的质量。在该蚀刻过程中,继续蚀刻直到更慢的区域在氧化物停止上平面化。在该“过蚀刻”期间,首先已经暴露氧化物的区域将由于与等离子蚀刻剂相互作为而在氧化物表面经历表面电荷形成。这可毁坏较低环绕侧壁上的本地钝化并且使得硅横向蚀刻,或者沿着氧化物表面底切。如果仅使用干法蚀刻,该效果不像如果使用后式湿法蚀刻那么显著。底切可以露出结晶平面,使侧壁各向异性湿法蚀刻表面的整理更加具有挑战性。因此,可以期望使用能检测蚀刻端点或者能以使切口效应最小的方式改变蚀刻工艺的机器。端点检测系统可从例如STS Systems of Portsmouth,NH,USA中买到。
尽管上述的干法和湿法蚀刻工艺可在SOI晶片上实现,但可以使用硅晶片而不是SOI晶片。
f.“软百叶帘”方案
参考图16A-16D,根据本发明的另一种盖子制造方法采用“软酉叶帘”方案。该方案包括湿法蚀刻(湿法各向异性结晶蚀刻),其中一系列薄的矩形凹坑231蚀刻到与相互邻接的盖子晶片210中。例如,盖子晶片210可包括(110)硅。凹坑231形成图案到盖子晶片210的表面上,从而覆盖硅盖子晶片210将要形成每个空腔230的区域,如图16A所示。薄的分开的壁233设置在各个槽对之间,如图16A所示。通常,分开壁233具有例如0到50微米的厚度。可对掩模上每个凹坑孔之间的间隔进行选择从而使得分开壁233在蚀刻工艺结束附近破裂和/或蚀刻掉。可替换地,可使用各向同性蚀刻,从而去掉分开壁233,尽管由于其可增加用于光信号传输的两侧壁221上的表面粗糙度并不是很希望。
与通过具有每个空腔230的单个孔径的掩模进行蚀刻的可能性相比,该软酉叶帘方案可使得形成更小的空腔。例如,参考图16C以及16D,示出了作为单个空腔241以及软百叶帘方案形成空腔之间的区别。例如,图16C示出了用相同的整体宽度(指沿凹坑231的长轴尺寸的宽度)以及在虚线222之间相同的留隙宽度形成空腔的两个方案。图16D示出了具有与组合式凹坑231匹配的长度的单个凹坑242。(长度指沿线222的方向)。虚线222之间的区域表示在由凹坑231包围的整个空腔237内的留隙区域。也就是说,我们可以插入空腔237中一个立方体,其侧边平行于虚线222,并且该立方体通常不与空腔237中所见的任何一个平面235进行干扰。该立方体在凹坑231的底部基本平立着。当然,立方体的长度与空腔237的长度有关。
示范性空腔241可通过构图一个大的开口并且蚀刻到深度D而形成。在D上,该空腔241,242包括设置在虚线222之间的宽度。相对应的留隙长度由空腔241的长度设定。相反,采用软百叶帘形成空腔,提供一系列凹坑231具有蚀刻深度D。
图16C中示出的两空腔237,241总体上具有相同的宽度,相同的留隙面积,以及不同的长度。从单个相邻掩模孔径中蚀刻的凹坑231的留隙宽度,例如可通过减小蚀刻深度,加宽掩模图案或者其组合而进行延伸。如果深度和宽度一定,或者如果希望将它们保持最小从而提供更小的盖子,凹坑231的长度由该深度和宽度进行限制。图16D示出了如果单个空腔242在长度上延伸而不考虑宽度。在这种情况下,深度保持相同。空腔241代表对于给定宽度和深度的空腔长度(或者“单位长度”)的限制。然而,如图16C所示,与空腔241相反,空腔237的长度可通过将空腔237分成多个凹坑231进行延伸而不用增加宽度或者减小深度。换句话说,空腔237的最终长度可通过改变所使用的凹坑231的数量而自由选择。对于给定的长度,宽度,以及深度,凹坑231形成空腔237的数量由所希望的总长度与单个凹坑长度的比来给定,约合该比率直到下一个整数。空腔237最少可由两个凹坑231形成。
在图16A-16D所示的形成盖子中,该工艺开始为基于优选空腔237的预定尺寸选择合适取向及尺寸的盖子晶片210。然后,合适的硬掩模材料可为基于指定的硅蚀刻剂(如具有KOH蚀刻剂的氮化硅掩模)的湿法硅蚀刻进行沉积。接着,对准硬掩模,并用简单的光刻以及氮化硅的干法或者湿法蚀刻形成图案。此后,盖子晶片219在蚀刻剂中蚀刻到所选择的深度。
这时,产生并形成凹坑231。如果使用多个凹坑231以形成(110)-蚀刻情形的空腔237,可使用任何附加步骤,以去掉凹坑231之间的分开壁233。这些附加步骤包括例如机械地击碎壁,用各向同性蚀刻剂进行蚀刻等。初始掩模图案可这样进行设计,使得由于掩模底切各向异性湿法蚀刻结束时使分开壁237消失。如果为该目的需要,SOI盖子晶片可用作蚀刻停止。
g.45°的结晶蚀刻
作为根据本发明的另一种示范性盖子制造方法,可使用具有到<110>方向45°取向的掩模的(100)硅结晶蚀刻,如图17A和17B所示。
可在盖子晶片210上设置矩形掩模孔径351。在形成盖子300的过程中,大范围的盖子尺寸需要形成合适尺寸的侧壁321,其中光束通过侧壁321。盖子300可具有扁平盖子密封表面326,粘合剂可施加在该表面上。例如,假定盖子密封表面326的大小是固定的,由当前方法所形成的盖子300的尺寸可以规定的,例如由侧壁321的所需尺寸指示。在到达临界深度B之前(在这点上侧壁321与顶点B形成三角形)侧壁321包括截取的三角形。当蚀刻进行时,由于变深的同时变窄,因此侧壁321的形状接近大体的三角形。侧壁边的角度通常为恒定的。在临界深度上,侧壁321满足提供大体的三角形侧壁321。当蚀刻继续时,每个侧壁321的边继续互相靠近,并且底部顶点向执行蚀刻的上晶片表面移动。如果蚀刻继续足够长的期间,三角形垂直侧壁321消失并且仅有一个锥状凹坑留着,而没有垂直表面。这与如果盖子晶片310以更大孔形成图案并以传统方式平行{111}平面对准,其是相同的凹坑。
例如通过改变掩模图案的宽度,改变凹坑的预定深度,或者改变两者可调节侧壁321的最终尺寸。如果掩模图案的宽度是固定的,侧壁321的尺寸将随着凹坑的深度减小。如果凹坑的深度固定,侧壁321的尺寸随着掩模宽度的减小而减小。此外,凹坑的整体宽度(以及因此侧壁321)随着掩模孔宽度减小而减小。图17B的演示解释了我们的设计中,当在光束和盖子表面之间留出合适的距离时使得光束“B”通过侧壁321的最小深度以及宽度(以及由此而得的整个盖子尺寸)。
在前述工艺中,可以使用公知的蚀刻剂,例如,诸如KOH和TMAH,或者EDP的碱性氢氧化物,还有其它已知的,更多的氧化物可选择各向异性蚀刻剂。EDP可以,例如在<111>结晶平面表面上产生更小的表面粗糙度。此外,可使用例如添加表面活性剂从而改进表面粗糙度的技术,如本领域中公知的,从而在各向异性蚀刻中实现预定的最终形状以及表面抛光度。低应力层,例如,低应力氮化物,可作为湿法蚀刻的掩模材料进行使用。硅氧化物例如SiO2,金属(如Cr,Al,Ni)或者其组合可用作干法蚀刻的掩模材料。掩模可采用公知技术形成图案。
另外,当采用湿法蚀刻工艺时,采用在侧壁220的顶部具有形成图案的薄膜焊料(如共晶或者近晶Au-Sn成分,In,Sn-Pb,In-Cu,瞬变液相(TLP)材料,TAD材料等)可以限定凹坑。该焊料可以具有合适的结果保持到几个各向异性蚀刻中,使得在易将盖子200密封到底部晶片110上的表面上具有焊料环。厚度,成分,熔点,以及图案可与,例如,粗糙度,盖子安装区域60,粘结等级等有关。焊料的通常厚度为,例如,3到8微米。此外,例如上面描述的附着层以及扩散势垒层,例如Cr/Ni,Cr/Pt,Ti/W等,可在焊料下面使用。焊料可在盖子200,底部晶片110,或者两者上存在。焊料可在两者之间复合分割,从而防止其在热处理的其他阶段起反应或者有害地扩散。(在某些情况下,当盖子200由环氧树脂粘结,阳极粘结,熔化粘结,HF或者其他低温共价粘结,Au-Au粘结等密封时不需要焊料)。
可能希望,与在盖子晶片的密封表面上的焊料或者金属兼容,在更高温度沉积各种涂层,例如,防反射(AR)涂层。在盖子的蚀刻之后,掩模选择地进行剥离-金属掩模通常剥离。然后晶片例如通过共形CVD进行AR涂覆,采用例如有机化合物的反应剂,硅烷,二氯甲硅烷,氧气或者氮气等。沉积通常在高于400摄氏度的温度上进行,这是与大多数的焊料和金属不相容的。在AR镀层之后,晶片或者各部分的网格可在屏蔽掩模上对准,该屏蔽掩模包含一系列可旋转不对称的开口,该开口描绘所希望的焊料图案。例如,如果盖子的网格包括100微米厚的空腔,可以使用屏蔽掩模。屏蔽掩模通常为具有开口的蚀刻薄金属。通过该开口,可沉积金属的蒸汽流。
当盖子用于光传输或者包含波导时,希望在防止外壁或内壁表面上的金属沉积或“过喷“的同时可进行密封表面的连续覆盖。屏蔽掩模可例如用描绘盖子密封表面的开口环进行构造,并对晶片或者网格上的每个器件进行重复。该屏蔽掩模可对准到具有希望精度的部分上,其是在盖子密封表面上屏蔽掩模的尺寸重叠与尺寸过小的函数。例如,100微米壁可在屏蔽掩模中采用75微米开口,通常在两者之间需要至少±12.5微米的对准,从而防止在侧壁上金属过喷。实际上,对准通常比这个最小程度好,因为在屏蔽掩模和将要考虑到的部分之间通常具有缝隙。该对准可以容易地基于例如对准技术,沉积的金属,以及蒸汽源以及基底的分离和对准来进行确定。
掩模设计可包括具有金属中心的开口环,其屏蔽了盖子空腔的内部容积。该开口环可通过用金属中心附着屏蔽掩模金属的本体的平台区(land)而在一处或多处断开。将掩模中心和本体附着的平台区可以这种方式进行设置,当盖子旋转180度时,第二金属化在密封表面周围形成连续覆盖。如果密封掩模的金属中心由一个以上平台区进行连接,那么它们可不对称地设置,从而可实现不间断金属化。例如,掩模可由3密耳厚的不锈钢形成,该不锈钢具有两个平台区,每个为2到3密耳,将掩模金属中心的内部连接成4密耳环。该平台区可这样不对称设置,即当晶片或者网格180度旋转时,可实现密封表面的完全金属化。
该掩模通过沉积第一可加湿金属化形成,该第一可加湿金属化例如Cr(50nm)/Ni(200nm)/Au(200nm)。该部分由指定的值例如90或者180度进行旋转。第二金属化可采用与第一金属化结构相同或不同的结构和厚度形成。接下来对焊料,例如Au-Sn(2到10微米)进许沉积。因此由这两个沉积步骤形成焊料加湿的金属环。焊料可在粘结表面上连续沉积并且覆盖除金属平台位置上的小缝隙以外的相同表面。当盖子施加到底部晶片,并且焊进行回流时,小缝隙由焊料通过毛细作用进行填充。该缝隙还有助于防止蒸汽密封在随后的烘干步骤中形成,该烘干步骤用于去掉在盖子密封到基底之前的湿度以及可冷凝的水蒸气。
尽管硅对于传输高于1100nm的波长是有效的,但是我们希望在某个应用中其能具有通过盖子200传输在可见光谱以及IR附近的波长的能力。这种能力可例如在封装泵浦激光器,VCSELS,许多传感器等中有用。关于这点,可提供对于指定波长光透明的硅盖子侧壁部分。例如,参考图18A-18D,硅盖子200可在(100)单晶硅中通过上述方法进行制造。然后,侧壁222的一部分可变薄到5-30微米的厚度,如图18A和18B所示。然后对具有变薄的侧壁222的盖子进行热氧化足够长的时间段,从而使得侧壁222的整个深度氧化成二氧化硅,其对可见光谱是光透明的,如图18C和18D所示。为了减小导致固体体积改变的机械应力,可采用使用蚀刻柱或者矩形,其在氧化过程中互相生长从而形成固体壁。这种柱可通过干法蚀刻,湿法蚀刻或者两者一起形成。
在使用SOI晶片的地方,盖子晶片210可包括两个分开的晶片,其在以后进行粘结,一个用于侧壁220,另一个随后用于顶部250而密封。此外,当使用干法蚀刻时,所添加的对准零件,例如用于对准管脚的孔,或者有助于对准套圈套筒的前喷嘴可容易结合到设计中去。
D.密封性测试
根据本发明的再一方面,器件封装的密封性可方便地测试。盖子尺寸可这样进行选择,使得顶部250响应密封性的改变或者损耗而偏转到可测量的程度。测量仪器,例如,干涉仪,可对于这个目的进行使用。该组件在制造过程中填充有惰性气体,例如,氦或者氮,从而在盖子中形成膨凸。顶250的厚度与空腔230的最长尺寸(跨度)的比通常为1/10到1/50。例如,对于具有1mm的空腔跨度的盖子200,40微米的盖子厚度可提供足够的偏转以及耐久性。盖子厚度通常在20微米和100微米之间。由于盖子膨凸的程度与组件压力相关,盖子膨凸的改变程度代表密封性和泄漏率。
从前面的说明书中,本发明的这些或那些好处对于本领域的技术人员来说是很清楚的。因此,在不脱离本发明的广阔的创造性精神的情况下,本领域的技术人员可意识到可对上述实施例进行各种改变或变形。因此我们知道本发明并不局限于在此描述的特别实施例,而是包括在权利要求中所提出的本发明范围和精神内的所有改变和变形。
除上述用于测试密封性的技术以外,我们可以可替换地用可检测的气体,例如氦填充组件,并且使用标准泄漏监测设备测量组件的泄漏率。

Claims (6)

1.一种光电子器件封装,包括:
基本基底,该基本基底包括在该基本基底的表面上的光电子器件安装区域以及盖子安装区域;
安装在光电子器件安装区域上的光电子器件;以及
安装在盖子安装区域上的盖子,从而在基本基底和盖子之间形成封闭容积,其中光电子器件处于封闭容积内,并且其中盖子具有光传输区域,该光传输区域适合给定波长的光沿着光路径传输到光电子器件或者从光电子器件传输给定波长的光,其中盖子安装区域的至少一部分沿着光路径设置在基本基底表面之下至低于光路径的深度。
2.权利要求1的光电子器件封装,其中光传输区域的材料为硅。
3.权利要求1的光电子器件封装,其中光传输区域为盖子的侧壁部分。
4.权利要求1的光电子器件封装,其中光传输区域为盖子的顶部,其中该基底还包括反射表面,该反射表面用于将来自光电子器件的光反射通过盖子顶部。
5.权利要求1的光电子器件封装,其中基本基底还包括沿靠近光电子器件的光轴设置的凹部,以及安装在凹部的透镜,从而在盖子的光传输区域上提供准直的光束。
6.权利要求1的光电子组件,还包括:
沿着光轴设置在基本基底内以及盖子外的光纤槽;
设置在光纤槽内的光纤部;
在盖子和光纤槽之间沿着光轴设置的凹部;
安装在凹部内的光学部件,用于接收光并且将所接收的光在光纤部的端面上进行聚焦;以及
在光学部件和/或光纤部上的密封剂。
CNB2004100820736A 2003-09-15 2004-09-15 器件封装及其制造和测试方法 Expired - Fee Related CN100386867C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50286803P 2003-09-15 2003-09-15
US60/502,868 2003-09-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNB2007101040720A Division CN100539127C (zh) 2003-09-15 2004-09-15 晶片级或网格级光电子器件封装

Publications (2)

Publication Number Publication Date
CN1655342A CN1655342A (zh) 2005-08-17
CN100386867C true CN100386867C (zh) 2008-05-07

Family

ID=34135393

Family Applications (4)

Application Number Title Priority Date Filing Date
CNB2007101264596A Expired - Fee Related CN100511624C (zh) 2003-09-15 2004-09-15 器件封装及其制造和测试方法
CNB2004100820740A Expired - Fee Related CN100378938C (zh) 2003-09-15 2004-09-15 器件封装及其制造和测试方法
CNB2004100820736A Expired - Fee Related CN100386867C (zh) 2003-09-15 2004-09-15 器件封装及其制造和测试方法
CNB2007101040720A Expired - Fee Related CN100539127C (zh) 2003-09-15 2004-09-15 晶片级或网格级光电子器件封装

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CNB2007101264596A Expired - Fee Related CN100511624C (zh) 2003-09-15 2004-09-15 器件封装及其制造和测试方法
CNB2004100820740A Expired - Fee Related CN100378938C (zh) 2003-09-15 2004-09-15 器件封装及其制造和测试方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB2007101040720A Expired - Fee Related CN100539127C (zh) 2003-09-15 2004-09-15 晶片级或网格级光电子器件封装

Country Status (7)

Country Link
US (11) US7329056B2 (zh)
EP (3) EP1515364B1 (zh)
JP (3) JP5002123B2 (zh)
KR (4) KR101146019B1 (zh)
CN (4) CN100511624C (zh)
CA (5) CA2481637C (zh)
TW (2) TWI253761B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11258229B2 (en) * 2019-08-16 2022-02-22 Cisco Technology, Inc. Thermal gel application on electronic and optical components

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE390712T1 (de) * 2001-04-30 2008-04-15 Mergeoptics Gmbh Anordnung mit mindestens zwei unterschiedlichen elektronischen halbleiterschaltungen
US7073954B1 (en) * 2001-09-17 2006-07-11 Stratos International, Inc. Transceiver assembly for use in fiber optics communications
WO2003049514A2 (en) 2001-12-03 2003-06-12 Memgen Corporation Miniature rf and microwave components and methods for fabricating such components
US9614266B2 (en) 2001-12-03 2017-04-04 Microfabrica Inc. Miniature RF and microwave components and methods for fabricating such components
US8971679B2 (en) * 2002-08-28 2015-03-03 Optonet Inc. Apparatus and method for passive alignment of optical devices
EP1609206B1 (en) 2003-03-04 2010-07-28 Rohm and Haas Electronic Materials, L.L.C. Coaxial waveguide microstructures and methods of formation thereof
US10297421B1 (en) 2003-05-07 2019-05-21 Microfabrica Inc. Plasma etching of dielectric sacrificial material from reentrant multi-layer metal structures
EP1515364B1 (en) * 2003-09-15 2016-04-13 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US6985645B2 (en) * 2003-09-24 2006-01-10 International Business Machines Corporation Apparatus and methods for integrally packaging optoelectronic devices, IC chips and optical transmission lines
US20050083982A1 (en) * 2003-10-20 2005-04-21 Binoptics Corporation Surface emitting and receiving photonic device
US7333521B1 (en) * 2003-12-04 2008-02-19 National Semiconductor Corporation Method of sensing VCSEL light output power by monitoring electrical characteristics of the VCSEL
US7045827B2 (en) * 2004-06-24 2006-05-16 Gallup Kendra J Lids for wafer-scale optoelectronic packages
DE102004031878B3 (de) * 2004-07-01 2005-10-06 Epcos Ag Elektrisches Mehrschichtbauelement mit zuverlässigem Lötkontakt
KR100594716B1 (ko) * 2004-07-27 2006-06-30 삼성전자주식회사 공동부를 구비한 캡 웨이퍼, 이를 이용한 반도체 칩, 및그 제조방법
US20060046501A1 (en) * 2004-08-27 2006-03-02 Texas Instruments Incorporated Screening of electroless nickel/immersion gold-plated substrates with black pad defect
US7833834B2 (en) * 2004-09-30 2010-11-16 Sharp Kabushiki Kaisha Method for producing nitride semiconductor laser light source and apparatus for producing nitride semiconductor laser light source
JP2006119024A (ja) * 2004-10-22 2006-05-11 Tokyo Electron Ltd プローブおよびその製造方法
JP4451371B2 (ja) * 2004-12-20 2010-04-14 シャープ株式会社 窒化物半導体レーザ素子
US20060132544A1 (en) * 2004-12-21 2006-06-22 Corley Richard E Jr Laser tacking and singulating method and system
US7630422B1 (en) 2005-01-14 2009-12-08 National Semiconductor Corporation Driver for vertical-cavity surface-emitting laser and method
US8050526B2 (en) * 2005-02-08 2011-11-01 Samsung Electronics Co., Ltd. Micro-optical device and method of making same
KR20060104531A (ko) * 2005-03-30 2006-10-09 삼성에스디아이 주식회사 발광표시장치의 제조방법
US7750356B2 (en) 2005-05-04 2010-07-06 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Silicon optical package with 45 degree turning mirror
TW200702762A (en) * 2005-06-01 2007-01-16 Rohm & Haas Elect Mat Optical assemblies
US7790484B2 (en) * 2005-06-08 2010-09-07 Sharp Kabushiki Kaisha Method for manufacturing laser devices
US20070012661A1 (en) * 2005-07-13 2007-01-18 Vasilyeva Irina V Silicon nitride passivation layers having oxidized interface
JP2008026839A (ja) * 2005-08-15 2008-02-07 Rohm & Haas Electronic Materials Llc 結合方法および光学アセンブリ
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7772116B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
KR100713121B1 (ko) * 2005-09-27 2007-05-02 한국전자통신연구원 칩과 이를 이용한 칩 스택 및 그 제조방법
US7619312B2 (en) * 2005-10-03 2009-11-17 Sun Microsystems, Inc. Method and apparatus for precisely aligning integrated circuit chips
TWI309313B (en) * 2005-10-11 2009-05-01 Rohm & Haas Elect Mat Micro-optical device
US7913719B2 (en) * 2006-01-30 2011-03-29 Cooligy Inc. Tape-wrapped multilayer tubing and methods for making the same
US7807547B2 (en) * 2006-03-28 2010-10-05 Innovative Micro Technology Wafer bonding material with embedded rigid particles
JP2007294523A (ja) * 2006-04-21 2007-11-08 Oki Electric Ind Co Ltd 表面実装型光結合器、その実装方法、及び、その製造方法
US20070262051A1 (en) * 2006-05-12 2007-11-15 Advanced Chip Engineering Technology Inc. Method of plasma etching with pattern mask
US20070279885A1 (en) * 2006-05-31 2007-12-06 Basavanhally Nagesh R Backages with buried electrical feedthroughs
JP2008010659A (ja) * 2006-06-29 2008-01-17 Disco Abrasive Syst Ltd ビアホールの加工方法
WO2008010416A1 (fr) * 2006-07-19 2008-01-24 Nikon Corporation Boîtier pour amplificateur à fibre optique, amplificateur à fibre optique et dispositif source de lumière
JP2008028143A (ja) * 2006-07-21 2008-02-07 Sumitomo Electric Ind Ltd 光モジュール
US7704874B1 (en) * 2006-10-02 2010-04-27 Newport Fab, Llc Method for fabricating a frontside through-wafer via in a processed wafer and related structure
US20080083611A1 (en) * 2006-10-06 2008-04-10 Tegal Corporation High-adhesive backside metallization
US20080157353A1 (en) * 2006-12-29 2008-07-03 Texas Instruments Incorporated Control of Standoff Height Between Packages with a Solder-Embedded Tape
US7656256B2 (en) 2006-12-30 2010-02-02 Nuvotronics, PLLC Three-dimensional microstructures having an embedded support member with an aperture therein and method of formation thereof
US20080181558A1 (en) * 2007-01-31 2008-07-31 Hartwell Peter G Electronic and optical circuit integration through wafer bonding
EP1962344B1 (en) 2007-02-25 2012-03-28 Samsung Electronics Co., Ltd Electronic device packages and methods of formation
JP5010948B2 (ja) * 2007-03-06 2012-08-29 オリンパス株式会社 半導体装置
US7994594B2 (en) 2007-03-15 2011-08-09 Seiko Epson Corporation Electronic device, resonator, oscillator and method for manufacturing electronic device
US7887243B2 (en) 2007-03-16 2011-02-15 Honeywell Federal Manufacturing & Technologies, Llc Miniature mechanical transfer optical coupler
EP1973189B1 (en) 2007-03-20 2012-12-05 Nuvotronics, LLC Coaxial transmission line microstructures and methods of formation thereof
KR101593686B1 (ko) 2007-03-20 2016-02-12 누보트로닉스, 엘.엘.씨 일체화된 전자 요소들 및 이들의 형성 방법
EP1986028A3 (en) * 2007-03-27 2008-11-05 Rohm and Haas Electronic Materials LLC Optical assemblies and their methods of formation
US20090043211A1 (en) * 2007-03-28 2009-02-12 Albert Ting Mems based optical coherence tomography probe
SG148054A1 (en) * 2007-05-17 2008-12-31 Micron Technology Inc Semiconductor packages and method for fabricating semiconductor packages with discrete components
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7919973B2 (en) * 2007-06-22 2011-04-05 Microchip Technology Incorporated Method and apparatus for monitoring via's in a semiconductor fab
US20090026619A1 (en) * 2007-07-24 2009-01-29 Northrop Grumman Space & Mission Systems Corp. Method for Backside Metallization for Semiconductor Substrate
US8217482B2 (en) * 2007-12-21 2012-07-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared proximity sensor package with reduced crosstalk
JP2009259595A (ja) * 2008-04-16 2009-11-05 Hitachi Cable Ltd 電気接点層付金属材およびその製造方法
US20090279172A1 (en) * 2008-05-12 2009-11-12 Higashi Robert E Microelectromechanical lamellar grating
US8490678B2 (en) * 2008-06-02 2013-07-23 Gerald Ho Kim Silicon-based thermal energy transfer device and apparatus
JP2010010447A (ja) * 2008-06-27 2010-01-14 Disco Abrasive Syst Ltd 半導体デバイスの電極形成方法
WO2010009358A1 (en) * 2008-07-17 2010-01-21 Lawrence Pumps, Inc. Apparatus for simultaneous support of pressurized and unpressurized mechanical shaft sealing barrier fluid systems
US8238401B2 (en) * 2008-08-25 2012-08-07 Gerald Ho Kim Silicon-based lens support structure for diode laser
US8956904B2 (en) 2008-09-10 2015-02-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
US7943411B2 (en) * 2008-09-10 2011-05-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
DE102008058003B4 (de) * 2008-11-19 2012-04-05 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleitermoduls und Halbleitermodul
US20100127407A1 (en) * 2008-11-25 2010-05-27 Leblanc John Two-sided substrateless multichip module and method of manufacturing same
EA023071B1 (ru) * 2008-12-12 2016-04-29 Фрезениус Медикал Кэр Дойчланд Гмбх Устройство для обработки медицинской жидкости и способ для проверки его герметичности
JP5532611B2 (ja) * 2009-01-23 2014-06-25 富士通セミコンダクター株式会社 半導体装置の製造方法及び設計支援装置
JP5302020B2 (ja) * 2009-01-26 2013-10-02 浜松ホトニクス株式会社 光モジュール
JP5389464B2 (ja) * 2009-02-10 2014-01-15 フリースケール セミコンダクター インコーポレイテッド 半導体装置の製造方法
US8659371B2 (en) * 2009-03-03 2014-02-25 Bae Systems Information And Electronic Systems Integration Inc. Three-dimensional matrix structure for defining a coaxial transmission line channel
US8580596B2 (en) * 2009-04-10 2013-11-12 Nxp, B.V. Front end micro cavity
US20100283138A1 (en) * 2009-05-06 2010-11-11 Analog Devices, Inc. Nickel-Based Bonding of Semiconductor Wafers
US8420999B2 (en) * 2009-05-08 2013-04-16 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Metal shield and housing for optical proximity sensor with increased resistance to mechanical deformation
US8779361B2 (en) * 2009-06-30 2014-07-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Optical proximity sensor package with molded infrared light rejection barrier and infrared pass components
US9525093B2 (en) 2009-06-30 2016-12-20 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared attenuating or blocking layer in optical proximity sensor
US8957380B2 (en) * 2009-06-30 2015-02-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared attenuating or blocking layer in optical proximity sensor
US8716665B2 (en) * 2009-09-10 2014-05-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Compact optical proximity sensor with ball grid array and windowed substrate
US8143608B2 (en) * 2009-09-10 2012-03-27 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Package-on-package (POP) optical proximity sensor
US8350216B2 (en) * 2009-09-10 2013-01-08 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Miniaturized optical proximity sensor
US9733357B2 (en) * 2009-11-23 2017-08-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared proximity sensor package with improved crosstalk isolation
US20110123783A1 (en) * 2009-11-23 2011-05-26 David Sherrer Multilayer build processses and devices thereof
US8917150B2 (en) * 2010-01-22 2014-12-23 Nuvotronics, Llc Waveguide balun having waveguide structures disposed over a ground plane and having probes located in channels
KR101796098B1 (ko) * 2010-01-22 2017-11-10 누보트로닉스, 인크. 열관리
US8669569B2 (en) * 2010-02-04 2014-03-11 Yu-Nung Shen Light emitting diode package and method for fabricating the same
CN102148202B (zh) * 2010-02-09 2016-06-08 精材科技股份有限公司 晶片封装体及其形成方法
WO2011100068A2 (en) * 2010-02-12 2011-08-18 Eigenlight Corporation Hermetic package with leaded feedthroughs for in-line fiber optic devices and method of making
TWI419383B (zh) * 2010-03-08 2013-12-11 Harvatek Corp 具有高效率散熱效果之發光二極體結構及其製作方法
KR101637581B1 (ko) * 2010-03-09 2016-07-07 엘지이노텍 주식회사 발광 소자 패키지 및 그 제조방법
CN102237632A (zh) * 2010-04-26 2011-11-09 无锡亮源激光技术有限公司 户外用半导体激光模块
US20110285840A1 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Solder bonding and inspection method and apparatus
DE102010029760B4 (de) * 2010-06-07 2019-02-21 Robert Bosch Gmbh Bauelement mit einer Durchkontaktierung und Verfahren zu seiner Herstellung
US9341792B2 (en) 2010-06-29 2016-05-17 Cisco Technology, Inc. Vent structures for encapsulated components on an SOI-based photonics platform
US9098931B2 (en) 2010-08-11 2015-08-04 Apple Inc. Scanning projectors and image capture modules for 3D mapping
US10739460B2 (en) 2010-08-11 2020-08-11 Apple Inc. Time-of-flight detector with single-axis scan
US8528397B2 (en) * 2010-08-18 2013-09-10 International Business Machines Corporation Hermeticity sensor and related method
CN101997269B (zh) * 2010-09-15 2012-02-08 山东华光光电子有限公司 一种半导体激光器巴条制作方法
GB2484486A (en) * 2010-10-12 2012-04-18 Oclaro Technology Ltd Component Temperature Control
KR101430634B1 (ko) * 2010-11-19 2014-08-18 한국전자통신연구원 광 모듈
CN102055132A (zh) * 2010-11-26 2011-05-11 中国科学院物理研究所 可深度冷却的半导体激光器装置及其密封装置
JP2012137597A (ja) * 2010-12-27 2012-07-19 Fujitsu Ltd 光デバイス、光送受信ユニットおよび光通信システム
US8841597B2 (en) 2010-12-27 2014-09-23 Avago Technologies Ip (Singapore) Pte. Ltd. Housing for optical proximity sensor
US8582618B2 (en) 2011-01-18 2013-11-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Surface-emitting semiconductor laser device in which an edge-emitting laser is integrated with a diffractive or refractive lens on the semiconductor laser device
EP2690443B1 (en) 2011-03-24 2016-11-16 Sysmex Corporation Specimen analysis device, specimen information processing device, and specimen analysis method
US8315287B1 (en) 2011-05-03 2012-11-20 Avago Technologies Fiber Ip (Singapore) Pte. Ltd Surface-emitting semiconductor laser device in which an edge-emitting laser is integrated with a diffractive lens, and a method for making the device
JP5583632B2 (ja) * 2011-05-13 2014-09-03 Nttエレクトロニクス株式会社 光モジュール
US8866300B1 (en) 2011-06-05 2014-10-21 Nuvotronics, Llc Devices and methods for solder flow control in three-dimensional microstructures
US8814601B1 (en) 2011-06-06 2014-08-26 Nuvotronics, Llc Batch fabricated microconnectors
US20120319710A1 (en) * 2011-06-15 2012-12-20 Probelogic, Inc. Method and apparatus for implementing probes for electronic circuit testing
EP2731783A4 (en) 2011-07-13 2016-03-09 Nuvotronics Llc METHOD FOR PRODUCING ELECTRONIC AND MECHANICAL STRUCTURES
GB2494640A (en) * 2011-09-13 2013-03-20 Zinir Ltd Optical substrate with recesses for passive alignment of optical components
US9052445B2 (en) 2011-10-19 2015-06-09 Cisco Technology, Inc. Molded glass lid for wafer level packaging of opto-electronic assemblies
US9046690B2 (en) * 2011-10-20 2015-06-02 Si-Ware Systems Integrated monolithic optical bench containing 3-D curved optical elements and methods of its fabrication
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8794501B2 (en) 2011-11-18 2014-08-05 LuxVue Technology Corporation Method of transferring a light emitting diode
CN103163361B (zh) * 2011-12-13 2015-10-21 英业达股份有限公司 电子元件与检测系统的组合与电子元件的检测方法
US9773750B2 (en) * 2012-02-09 2017-09-26 Apple Inc. Method of transferring and bonding an array of micro devices
KR101709844B1 (ko) * 2012-02-15 2017-02-23 애플 인크. 맵핑 장치 및 맵핑하기 위한 방법
US9981844B2 (en) 2012-03-08 2018-05-29 Infineon Technologies Ag Method of manufacturing semiconductor device with glass pieces
JP6470677B2 (ja) * 2012-03-30 2019-02-13 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 封止された半導体発光デバイス
EP4228109A3 (en) 2012-05-08 2023-10-25 MACOM Technology Solutions Holdings, Inc. Lasers with beam-shape modification
US9500808B2 (en) 2012-05-09 2016-11-22 The Boeing Company Ruggedized photonic crystal sensor packaging
EP2857880B1 (en) * 2012-06-05 2018-09-19 Enplas Corporation Optical receptacle, and optical module provided with same
US9165723B2 (en) 2012-08-23 2015-10-20 Harris Corporation Switches for use in microelectromechanical and other systems, and processes for making same
US9053873B2 (en) 2012-09-20 2015-06-09 Harris Corporation Switches for use in microelectromechanical and other systems, and processes for making same
US9053874B2 (en) 2012-09-20 2015-06-09 Harris Corporation MEMS switches and other miniaturized devices having encapsulating enclosures, and processes for fabricating same
US20140082935A1 (en) * 2012-09-27 2014-03-27 Volex Plc Method for passive alignment of optical components to a substrate
KR102094995B1 (ko) * 2012-10-08 2020-03-31 삼성전자주식회사 열전모듈, 이를 구비한 열전장치, 및 열전모듈의 제조방법
JP6247495B2 (ja) * 2012-11-26 2017-12-13 キヤノン株式会社 半導体装置、及びその製造方法
US9325044B2 (en) 2013-01-26 2016-04-26 Nuvotronics, Inc. Multi-layer digital elliptic filter and method
TW201430430A (zh) * 2013-01-31 2014-08-01 Hon Hai Prec Ind Co Ltd 光通訊模組
US9306255B1 (en) 2013-03-15 2016-04-05 Nuvotronics, Inc. Microstructure including microstructural waveguide elements and/or IC chips that are mechanically interconnected to each other
US9306254B1 (en) 2013-03-15 2016-04-05 Nuvotronics, Inc. Substrate-free mechanical interconnection of electronic sub-systems using a spring configuration
EP2972081B1 (en) 2013-03-15 2020-04-22 Apple Inc. Depth scanning with multiple emitters
DE102013205594A1 (de) * 2013-03-28 2014-10-02 Osram Opto Semiconductors Gmbh Laserbauelement und Verfahren zu seiner Herstellung
US9090461B2 (en) 2013-04-30 2015-07-28 Hewlett-Packard Development Company, L.P. Temporary optical wave diffusion-promoting film adhered to lidded MEMS wafer for testing using interferometer
US9040386B2 (en) * 2013-07-23 2015-05-26 Maxim Integrated Products, Inc. Method for varied topographic MEMS cap process
US9246592B2 (en) * 2013-08-19 2016-01-26 International Business Machines Corporation Structured substrate for optical fiber alignment
CN103454052B (zh) * 2013-08-29 2017-03-01 上海华虹宏力半导体制造有限公司 Mems器件及晶圆级密封性的测量方法
US9810641B2 (en) * 2013-09-03 2017-11-07 Kulicke & Soffa Industries, Inc. Systems and methods for measuring physical characteristics of semiconductor device elements using structured light
CN103647004B (zh) * 2013-11-28 2016-05-11 苏州百奥丽光电科技有限公司 Led灯管的烘烤装置
US10056294B2 (en) * 2013-12-02 2018-08-21 Maxim Integrated Products, Inc. Techniques for adhesive control between a substrate and a die
WO2015104715A1 (en) * 2014-01-13 2015-07-16 Corning Optical Communications Wireless Ltd. Dissipating heat from electronic devices
US10310009B2 (en) 2014-01-17 2019-06-04 Nuvotronics, Inc Wafer scale test interface unit and contactors
US9308596B2 (en) * 2014-01-17 2016-04-12 Alcatel Lucent Method and assembly including a connection between metal layers and a fusible material
US9389378B2 (en) * 2014-02-03 2016-07-12 Luxtera, Inc. Method and system for optical power monitoring of a light source assembly coupled to a silicon photonically-enabled integrated circuit
US9563021B2 (en) 2014-03-17 2017-02-07 Lumentum Operations Llc Optical switching device
US9930793B2 (en) 2014-03-27 2018-03-27 Intel Corporation Electric circuit on flexible substrate
CN104948476B (zh) * 2014-03-31 2020-06-02 台达电子工业股份有限公司 薄型风扇、电子系统及薄型风扇的制造方法
US8999816B1 (en) * 2014-04-18 2015-04-07 Applied Materials, Inc. Pre-patterned dry laminate mask for wafer dicing processes
US10847469B2 (en) 2016-04-26 2020-11-24 Cubic Corporation CTE compensation for wafer-level and chip-scale packages and assemblies
WO2016094129A1 (en) 2014-12-03 2016-06-16 Nuvotronics, Inc. Systems and methods for manufacturing stacked circuits and transmission lines
US20160302335A1 (en) * 2015-04-10 2016-10-13 Mettler-Toledo Autochem, Inc. Precision mounting systems and methods
US9525863B2 (en) 2015-04-29 2016-12-20 Apple Inc. Time-of-flight depth mapping with flexible scan pattern
US9478494B1 (en) 2015-05-12 2016-10-25 Harris Corporation Digital data device interconnects
US9551831B1 (en) * 2015-05-18 2017-01-24 Alliance Fiber Optic Products, Inc. Pluggable LC adaptor having optical passive element
US9437911B1 (en) 2015-05-21 2016-09-06 Harris Corporation Compliant high speed interconnects
JP6661901B2 (ja) * 2015-06-22 2020-03-11 住友電気工業株式会社 光モジュール、光モジュールを作製する方法
US10315915B2 (en) * 2015-07-02 2019-06-11 Kionix, Inc. Electronic systems with through-substrate interconnects and MEMS device
JP6551008B2 (ja) * 2015-07-27 2019-07-31 住友電気工業株式会社 光モジュール、光学装置
JP6354704B2 (ja) 2015-08-25 2018-07-11 日亜化学工業株式会社 光学部材の製造方法、半導体レーザ装置の製造方法及び半導体レーザ装置
DE102015114292A1 (de) * 2015-08-27 2017-03-02 Osram Opto Semiconductors Gmbh Laserbauelement und Verfahren zu seiner Herstellung
WO2017068766A1 (ja) * 2015-10-20 2017-04-27 パナソニックIpマネジメント株式会社 光源装置
CN105304586A (zh) * 2015-11-20 2016-02-03 江阴长电先进封装有限公司 一种带有加强结构的芯片嵌入式封装结构及其封装方法
JP6489001B2 (ja) * 2015-12-09 2019-03-27 住友電気工業株式会社 光モジュール、光モジュールを作製する方法、及び光学装置
US10120133B2 (en) * 2015-12-18 2018-11-06 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
US10324171B2 (en) 2015-12-20 2019-06-18 Apple Inc. Light detection and ranging sensor
US9773764B2 (en) * 2015-12-22 2017-09-26 Intel Corporation Solid state device miniaturization
US9638859B1 (en) * 2016-01-12 2017-05-02 Elenion Technologies, Llc Optical fiber alignment device
US10234626B2 (en) * 2016-02-08 2019-03-19 Skorpios Technologies, Inc. Stepped optical bridge for connecting semiconductor waveguides
FR3047842B1 (fr) * 2016-02-12 2018-05-18 Commissariat A L'energie Atomique Et Aux Energies Alternatives Composant electronique a resistance metallique suspendue dans une cavite fermee
JP6636377B2 (ja) * 2016-04-08 2020-01-29 株式会社ディスコ パッケージウェーハの製造方法及びデバイスチップの製造方法
US10453760B2 (en) * 2016-04-08 2019-10-22 Advanced Semiconductor Engineering, Inc. Lid array panel, package with lid and method of making the same
JP6298225B1 (ja) * 2016-04-18 2018-03-20 京セラ株式会社 発光素子収納用部材、アレイ部材および発光装置
KR101843469B1 (ko) * 2016-04-19 2018-03-30 옵티시스 주식회사 광 커넥터
US9684141B1 (en) * 2016-04-25 2017-06-20 Applied Optoelectronics, Inc. Techniques for reducing ingress of foreign matter into an optical subassembly
WO2017203786A1 (ja) * 2016-05-23 2017-11-30 オリンパス株式会社 光信号送信モジュール
WO2017203785A1 (ja) * 2016-05-23 2017-11-30 オリンパス株式会社 光信号送信モジュール
US10082271B2 (en) 2016-06-29 2018-09-25 Nichia Corporation Laser light optical module utilizing reflective films for improved efficiency
US10168555B1 (en) 2016-06-30 2019-01-01 Google Llc Wiring in a head-mountable device
US10298913B2 (en) 2016-08-18 2019-05-21 Apple Inc. Standalone depth camera
US10539815B2 (en) 2016-09-22 2020-01-21 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
US9835801B1 (en) 2016-09-22 2017-12-05 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
KR101929465B1 (ko) * 2016-10-18 2019-03-14 주식회사 옵텔라 광학모듈
IL267395B2 (en) * 2016-12-22 2024-02-01 Magic Leap Inc Methods and systems for manufacturing patterned fiber components for scanning fiber displays
US10782315B2 (en) 2017-04-17 2020-09-22 Rosemount Aerospace Inc. Apparatus and method for packaging, handling or testing of sensors
US10193302B2 (en) * 2017-05-10 2019-01-29 Applied Optoelectronics, Inc. Light engine with integrated turning mirror for direct coupling to photonically-enabled complementary metal-oxide semiconductor (CMOS) die
US10209477B1 (en) * 2017-05-25 2019-02-19 Lockheed Martin Coherent Technologies, Inc. Systems and methods for reconfigurable micro-optic assemblies
GB2579936B (en) * 2017-08-01 2022-08-10 Rockley Photonics Ltd Module with transmit optical subassembly and receive optical subassembly
JP6958098B2 (ja) * 2017-08-10 2021-11-02 住友電気工業株式会社 光モジュール
CN107422433A (zh) * 2017-09-08 2017-12-01 中航海信光电技术有限公司 一种集成多光口的psm光电收发器件
RU181835U1 (ru) * 2017-09-14 2018-07-26 Российская Федерация, от имени которой выступает ФОНД ПЕРСПЕКТИВНЫХ ИССЛЕДОВАНИЙ Защитные покрытия различного назначения места установки датчиков на поверхности конструкции
US10634717B2 (en) * 2017-09-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Testing apparatus and testing method
US10514511B2 (en) * 2017-11-02 2019-12-24 Panduit Corp. Optical fiber termination using a reference source
US10319654B1 (en) 2017-12-01 2019-06-11 Cubic Corporation Integrated chip scale packages
CN108323151B (zh) * 2018-01-29 2023-12-19 广东利元亨智能装备有限公司 一种烟雾报警器自动组装生产线
US10921447B2 (en) 2018-01-29 2021-02-16 Rohm Co., Ltd. Control circuit of light emitting and receiving device
US20190278036A1 (en) * 2018-03-07 2019-09-12 Lightwave Logic Inc. Embedded hermetic capsule and method
US11427731B2 (en) 2018-03-23 2022-08-30 Teledyne Micralyne, Inc. Adhesive silicon oxynitride film
US11373849B2 (en) 2018-05-31 2022-06-28 Micromass Uk Limited Mass spectrometer having fragmentation region
CN112154531A (zh) 2018-05-31 2020-12-29 英国质谱公司 质谱仪
GB201808936D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808894D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Mass spectrometer
GB201808949D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808892D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Mass spectrometer
GB201808890D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808912D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
US11378762B2 (en) 2018-08-06 2022-07-05 Rockley Photonics Limited Method for III-V/silicon hybrid integration
US11036005B2 (en) * 2018-08-06 2021-06-15 Rockley Photonics Limited Method for III-V/silicon hybrid integration
US11953728B2 (en) 2018-08-06 2024-04-09 Rockley Photonics Limited Method for III-v/silicon hybrid integration
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
US10712500B2 (en) * 2018-10-17 2020-07-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
CN108957649B (zh) * 2018-10-17 2023-08-22 四川光恒通信技术有限公司 一种平行光结构双收双发盒型密封封装光器件
US11075173B2 (en) * 2018-10-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
RU2698561C1 (ru) * 2018-12-03 2019-08-28 Федеральное государственное бюджетное образовательное учреждение высшего образования "Саратовский национальный исследовательский государственный университет имени Н.Г. Чернышевского" СВЧ фотонный кристалл
KR102052737B1 (ko) * 2018-12-15 2020-01-08 김현철 번인 공정용 포켓캐리어 플레이트 제조방법 및 이를 이용한 포켓캐리어 플레이트와 지그 조립체
RU187926U1 (ru) * 2019-01-10 2019-03-25 Закрытое акционерное общество "ГРУППА КРЕМНИЙ ЭЛ" Выводная рамка полупроводникового прибора
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
EP3971623A4 (en) * 2019-05-13 2023-09-06 Lipac Co., Ltd. CONNECTOR PLUG AND ACTIVE OPTICAL CABLE ASSEMBLY USING THE SAME
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
CN112114207A (zh) * 2019-06-19 2020-12-22 泰克元有限公司 测试板及测试腔室
US20220329041A1 (en) * 2019-07-02 2022-10-13 Aayuna Inc. Laser Engine Supporting Multiple Laser Sources
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
CN113410747B (zh) * 2020-03-17 2022-07-12 潍坊华光光电子有限公司 一种半导体激光器管脚快速修复装置及修复方法
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
WO2021211778A1 (en) * 2020-04-15 2021-10-21 Excelitas Canada, Inc. Hermetic surface mount package for semiconductor side emitting laser and method forming same
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11747396B2 (en) * 2020-07-30 2023-09-05 Openlight Photonics, Inc. Optical interconnections for hybrid testing using automated testing equipment
CN114077016B (zh) * 2020-08-11 2023-09-01 美国莫列斯有限公司 具有光子集成电路的封装结构
TWI725908B (zh) 2020-08-18 2021-04-21 合晶科技股份有限公司 半極性氮化鎵的製作方法
US11686906B1 (en) * 2020-10-12 2023-06-27 Poet Technologies, Inc. Self-aligned structure and method on interposer-based PIC
CN112504574A (zh) * 2020-10-28 2021-03-16 北京中科科仪股份有限公司 一种光阑调节装置的检测工装及检测系统
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
JP2022094172A (ja) * 2020-12-14 2022-06-24 パナソニックIpマネジメント株式会社 発光装置、製造方法、及び導波構造体
US11474301B2 (en) * 2021-01-07 2022-10-18 Advanced Semiconductor Engineering, Inc. Device for communication
CN113295382B (zh) * 2021-04-29 2022-06-10 成都新锐鑫光通信技术有限公司 一种能够进行自适应检测的光纤连接器
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11502757B1 (en) * 2021-07-28 2022-11-15 QuantumZ Inc. Method of manufacturing device with optical component disposed thereon and transmission device manufactured by the same
CN114705229A (zh) * 2022-03-29 2022-07-05 深圳市联创杰科技有限公司 一种基于敏感材料的基底可调光纤fp复合温湿度传感器芯片
CN115032741A (zh) * 2022-05-22 2022-09-09 上海图灵智算量子科技有限公司 波导及包含其的光芯片
CN115767948B (zh) * 2022-11-14 2024-04-02 北京自动化控制设备研究所 Mems惯性系统高密度低应力集成方法
CN117103697A (zh) * 2023-10-20 2023-11-24 成都光创联科技有限公司 一种气密光器件的生产方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6422766B1 (en) * 1998-05-27 2002-07-23 Siemens Aktiengesellschaft Ag Housing configuration for a laser module
US6477056B1 (en) * 2001-03-12 2002-11-05 Phillip J. Edwards Optoelectric mounting and interconnect apparatus
EP1321931A2 (en) * 2001-12-18 2003-06-25 STMicroelectronics, Inc. Integrated optical unit for use with minature optical discs

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US73145A (en) 1868-01-07 wellman
US731445A (en) * 1902-09-16 1903-06-23 Willis M Esterly Laundry clothes-drier.
GB2136203B (en) 1983-03-02 1986-10-15 Standard Telephones Cables Ltd Through-wafer integrated circuit connections
US4771630A (en) 1985-12-20 1988-09-20 Warner-Lambert Company Method and apparatus for testing hermetic seal integrity of sealed packages and containers
JPH0620705Y2 (ja) 1987-09-29 1994-06-01 油谷重工株式会社 内装材の取付構造
US4897711A (en) * 1988-03-03 1990-01-30 American Telephone And Telegraph Company Subassembly for optoelectronic devices
US5082339A (en) * 1988-07-11 1992-01-21 Telefunken Electronic Gmbh Optical read-write head with diffraction grating structure
DE68910327T2 (de) 1988-07-22 1994-05-19 Nippon Denso Co Halbleiteranordnung.
JPH0253007A (ja) * 1988-08-18 1990-02-22 Matsushita Electric Ind Co Ltd 半導体レーザと光ファイバとの結合方法
US4893499A (en) 1988-12-05 1990-01-16 Unisys Corporation Method and apparatus for detecting leaks in IC packages by sensing package deflections
JPH0620930Y2 (ja) 1989-01-17 1994-06-01 栃木富士産業株式会社 滑り制限差動装置
JPH0766982B2 (ja) * 1989-03-29 1995-07-19 シャープ株式会社 波長選択性受光素子
WO1990014607A1 (en) 1989-05-19 1990-11-29 E.I. Du Pont De Nemours And Company Housing for an opto-electronic device
JPH0620766Y2 (ja) 1989-06-16 1994-06-01 忠 庄司 吸着具
US4961821A (en) 1989-11-22 1990-10-09 Xerox Corporation Ode through holes and butt edges without edge dicing
US5032897A (en) * 1990-02-28 1991-07-16 International Business Machines Corp. Integrated thermoelectric cooling
DE4010193C3 (de) * 1990-03-30 1998-03-26 Rheinmetall Ind Ag Leiterkarte für eine Leistungs-Elektronikschaltung
JPH04782A (ja) * 1990-04-18 1992-01-06 Nec Corp 半導体レーザ用パッケージ
DE4012396A1 (de) * 1990-04-19 1991-10-31 Roland Man Druckmasch Druckmaschinenanlage
US5201987A (en) 1990-06-04 1993-04-13 Xerox Corporation Fabricating method for silicon structures
JPH0448674A (ja) 1990-06-14 1992-02-18 Rohm Co Ltd 半導体レーザ
US5113404A (en) 1990-07-05 1992-05-12 At&T Bell Laboratories Silicon-based optical subassembly
US5082366A (en) 1990-08-30 1992-01-21 Laser Technology, Inc. Apparatus and method for detecting leaks in packages
US5080353A (en) * 1990-11-08 1992-01-14 Lee Tench Aerobic exercise chair
EP0512186A1 (en) * 1991-05-03 1992-11-11 International Business Machines Corporation Cooling structures and package modules for semiconductors
JP3143493B2 (ja) * 1991-06-21 2001-03-07 キヤノン株式会社 表示制御装置
US5327443A (en) * 1991-10-30 1994-07-05 Rohm Co., Ltd. Package-type semiconductor laser device
US5259054A (en) 1992-01-10 1993-11-02 At&T Bell Laboratories Self-aligned optical subassembly
JP3235256B2 (ja) * 1992-03-31 2001-12-04 凸版印刷株式会社 メンブレンの製造方法とメンブレン
JP3398165B2 (ja) 1992-06-30 2003-04-21 日立マクセル株式会社 無機非水電解液電池
JP3198628B2 (ja) 1992-07-07 2001-08-13 松下電器産業株式会社 コードレス機器
US5231686A (en) 1992-07-17 1993-07-27 Honeywell Inc. Optical connector configured to facilitate active alignment of a photoelectric device with an optical fiber
JPH0786693B2 (ja) 1992-08-31 1995-09-20 スタンレー電気株式会社 電子写真用感光体
DE4232327A1 (de) 1992-09-26 1994-03-31 Sel Alcatel Ag Halbleiter-Lasermodul
JPH06149483A (ja) 1992-11-13 1994-05-27 Ricoh Co Ltd コンピュータシステム
JPH0786693A (ja) * 1993-09-16 1995-03-31 Toshiba Corp 光半導体モジュール
US5412748A (en) * 1992-12-04 1995-05-02 Kabushiki Kaisha Toshiba Optical semiconductor module
US5351163A (en) * 1992-12-30 1994-09-27 Westinghouse Electric Corporation High Q monolithic MIM capacitor
US5291572A (en) * 1993-01-14 1994-03-01 At&T Bell Laboratories Article comprising compression bonded parts
US5308442A (en) 1993-01-25 1994-05-03 Hewlett-Packard Company Anisotropically etched ink fill slots in silicon
US5448014A (en) * 1993-01-27 1995-09-05 Trw Inc. Mass simultaneous sealing and electrical connection of electronic devices
CN1094450A (zh) 1993-04-28 1994-11-02 德拉费有限公司 合金迁移烧结方法
US5390271A (en) * 1993-05-03 1995-02-14 Litton Systems, Inc. Optical interface for hybrid circuit
US5432998A (en) 1993-07-27 1995-07-18 International Business Machines, Corporation Method of solder bonding processor package
KR970005712B1 (ko) * 1994-01-11 1997-04-19 삼성전자 주식회사 고 열방출용 반도체 패키지
US5479540A (en) * 1994-06-30 1995-12-26 The Whitaker Corporation Passively aligned bi-directional optoelectronic transceiver module assembly
US5542602A (en) 1994-12-30 1996-08-06 International Business Machines Corporation Stabilization of conductive adhesive by metallurgical bonding
JP3343875B2 (ja) 1995-06-30 2002-11-11 キヤノン株式会社 インクジェットヘッドの製造方法
US5881193A (en) 1995-12-22 1999-03-09 Lucent Technologies Inc. Low profile optical subassembly
US5812717A (en) 1996-01-18 1998-09-22 Methode Electronics, Inc. Optical package with alignment means and method of assembling an optical package
JPH10115556A (ja) * 1996-10-11 1998-05-06 Mitsubishi Electric Corp 赤外線検出器
DE19616969A1 (de) 1996-04-27 1997-10-30 Bosch Gmbh Robert Optische Baugruppe zur Ankopplung eines Lichtwellenleiters und Verfahren zur Herstellung derselben
JPH09307122A (ja) * 1996-05-17 1997-11-28 Shinko Electric Ind Co Ltd 光素子モジュール
JPH09318849A (ja) * 1996-05-24 1997-12-12 Fujitsu Ltd 光伝送モジュールおよびその製造方法
US5891354A (en) 1996-07-26 1999-04-06 Fujitsu Limited Methods of etching through wafers and substrates with a composite etch stop layer
US6354747B1 (en) * 1996-08-26 2002-03-12 Sumitomo Electric Industries, Ltd. Optical module
US5798557A (en) * 1996-08-29 1998-08-25 Harris Corporation Lid wafer bond packaging and micromachining
WO1998014813A1 (en) 1996-09-30 1998-04-09 The Whitaker Corporation Fiber optic array transmitter/receiver based on flexible circuit technology
DE19643717A1 (de) * 1996-10-23 1998-04-30 Asea Brown Boveri Flüssigkeits-Kühlvorrichtung für ein Hochleistungshalbleitermodul
DE19645636C1 (de) * 1996-11-06 1998-03-12 Telefunken Microelectron Leistungsmodul zur Ansteuerung von Elektromotoren
US5740261A (en) 1996-11-21 1998-04-14 Knowles Electronics, Inc. Miniature silicon condenser microphone
JP3359517B2 (ja) * 1996-12-13 2002-12-24 京セラ株式会社 光モジュール
SE511377C2 (sv) 1996-12-19 1999-09-20 Ericsson Telefon Ab L M Viaanordning
US5997890A (en) 1997-05-23 1999-12-07 The Procter & Gamble Company Skin care compositions and method of improving skin appearance
US6133631A (en) * 1997-05-30 2000-10-17 Hewlett-Packard Company Semiconductor package lid with internal heat pipe
EP0895111A1 (en) 1997-07-22 1999-02-03 Lucent Technologies Inc. Bonding of aluminum oxide components to silicon substrates
JPH1186312A (ja) 1997-08-29 1999-03-30 Mitsumi Electric Co Ltd 光ピックアップ
US6180261B1 (en) * 1997-10-21 2001-01-30 Nitto Denko Corporation Low thermal expansion circuit board and multilayer wiring circuit board
US5990560A (en) 1997-10-22 1999-11-23 Lucent Technologies Inc. Method and compositions for achieving a kinetically controlled solder bond
FR2770339B1 (fr) * 1997-10-27 2003-06-13 Commissariat Energie Atomique Structure munie de contacts electriques formes a travers le substrat de cette structure et procede d'obtention d'une telle structure
DE69737262T2 (de) * 1997-11-26 2007-11-08 Stmicroelectronics S.R.L., Agrate Brianza Herstellungsverfahren für einen Vorder-Hinterseiten-Durchkontakt in mikro-integrierten Schaltungen
US6167751B1 (en) * 1997-11-26 2001-01-02 Thermedics Detection, Inc. Leak analysis
US5899836A (en) * 1998-01-08 1999-05-04 Chen; Paul Exerciser for pulling and stepping exercises
US6359333B1 (en) * 1998-03-31 2002-03-19 Honeywell International Inc. Wafer-pair having deposited layer sealed chambers
US6036872A (en) 1998-03-31 2000-03-14 Honeywell Inc. Method for making a wafer-pair having sealed chambers
JPH11295560A (ja) 1998-04-09 1999-10-29 Matsushita Electric Ind Co Ltd 光通信用モジュール及びその検査方法
US6196358B1 (en) 1998-07-08 2001-03-06 Shimano Inc. Detachable fluid cooling system for bicycle disc brake
US6110081A (en) * 1998-08-06 2000-08-29 Barrett; Marilyn Portable resistance-based exercise apparatus
US6342442B1 (en) 1998-11-20 2002-01-29 Agere Systems Guardian Corp. Kinetically controlled solder bonding
US7208725B2 (en) 1998-11-25 2007-04-24 Rohm And Haas Electronic Materials Llc Optoelectronic component with encapsulant
AU2653299A (en) 1998-11-25 2000-06-13 Act Micro Devices Optoelectronic module and method of making same
US20030034438A1 (en) 1998-11-25 2003-02-20 Sherrer David W. Optoelectronic device-optical fiber connector having micromachined pit for passive alignment of the optoelectronic device
EP1061578A4 (en) 1998-12-16 2001-07-18 Seiko Epson Corp SEMICONDUCTOR CHIP, SEMICONDUCTOR DEVICE, CIRCUIT BOARD AND ELECTRONIC EQUIPMENT AND METHODS OF PRODUCING THE SAME
US6094919A (en) * 1999-01-04 2000-08-01 Intel Corporation Package with integrated thermoelectric module for cooling of integrated circuits
US6227724B1 (en) 1999-01-11 2001-05-08 Lightlogic, Inc. Method for constructing an optoelectronic assembly
US6221769B1 (en) 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
JP3567793B2 (ja) * 1999-04-27 2004-09-22 オムロン株式会社 半導体素子の製造方法
US6275513B1 (en) * 1999-06-04 2001-08-14 Bandwidth 9 Hermetically sealed semiconductor laser device
US6228675B1 (en) 1999-07-23 2001-05-08 Agilent Technologies, Inc. Microcap wafer-level package with vias
US6522762B1 (en) 1999-09-07 2003-02-18 Microtronic A/S Silicon-based sensor system
US6091603A (en) * 1999-09-30 2000-07-18 International Business Machines Corporation Customizable lid for improved thermal performance of modules using flip chips
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
JP2001174671A (ja) * 1999-12-16 2001-06-29 Japan Aviation Electronics Industry Ltd 光素子モジュール
US6468891B2 (en) 2000-02-24 2002-10-22 Micron Technology, Inc. Stereolithographically fabricated conductive elements, semiconductor device components and assemblies including such conductive elements, and methods
US6351027B1 (en) * 2000-02-29 2002-02-26 Agilent Technologies, Inc. Chip-mounted enclosure
JP3518491B2 (ja) 2000-06-26 2004-04-12 株式会社日立製作所 光結合装置
JP4134499B2 (ja) * 2000-08-07 2008-08-20 住友電気工業株式会社 光学装置
US6439032B1 (en) * 2000-09-26 2002-08-27 Martin Lehmann Method and apparatus for leak testing closed containers
US7345316B2 (en) 2000-10-25 2008-03-18 Shipley Company, L.L.C. Wafer level packaging for optoelectronic devices
US6932519B2 (en) 2000-11-16 2005-08-23 Shipley Company, L.L.C. Optical device package
JP3704467B2 (ja) * 2000-12-05 2005-10-12 松下電器産業株式会社 半導体装置の製造方法
AUPR245701A0 (en) * 2001-01-10 2001-02-01 Silverbrook Research Pty Ltd An apparatus (WSM10)
JP4092914B2 (ja) * 2001-01-26 2008-05-28 セイコーエプソン株式会社 マスクの製造方法、有機エレクトロルミネッセンス装置の製造方法
KR100396551B1 (ko) * 2001-02-03 2003-09-03 삼성전자주식회사 웨이퍼 레벨 허메틱 실링 방법
US6894903B2 (en) * 2001-02-28 2005-05-17 Sumitomo Electric Industries, Ltd. Optical data link
US6358066B1 (en) 2001-02-28 2002-03-19 Stratos Lightwave, Inc. Surface mountable transceiver
JP4000782B2 (ja) 2001-03-22 2007-10-31 株式会社ジェイテクト 電動パワーステアリング装置
JP2002341189A (ja) * 2001-05-17 2002-11-27 Hitachi Ltd 光モジュール
JP4583662B2 (ja) 2001-06-01 2010-11-17 三菱電機株式会社 光モジュールパッケージおよびその製造方法
JP3519720B2 (ja) * 2001-06-11 2004-04-19 松下電器産業株式会社 電子デバイス
US6776623B1 (en) 2001-06-11 2004-08-17 Picolight Incorporated Transceiver mounting adapters
US6890834B2 (en) * 2001-06-11 2005-05-10 Matsushita Electric Industrial Co., Ltd. Electronic device and method for manufacturing the same
JP4703061B2 (ja) * 2001-08-30 2011-06-15 富士通株式会社 薄膜回路基板の製造方法およびビア形成基板の形成方法
US6452798B1 (en) * 2001-09-12 2002-09-17 Harris Corporation Electronic module including a cooling substrate having a fluid cooling circuit therein and related methods
US6818464B2 (en) * 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
US6611052B2 (en) * 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
WO2003046640A1 (fr) 2001-11-27 2003-06-05 Matsushita Electric Industrial Co., Ltd. Visualisateur d'image de type projection a panneau unique
US6985281B2 (en) * 2001-11-28 2006-01-10 Aegis Semiconductor, Inc. Package for optical components
KR100442830B1 (ko) * 2001-12-04 2004-08-02 삼성전자주식회사 저온의 산화방지 허메틱 실링 방법
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
GB2384554A (en) 2002-01-25 2003-07-30 Sepha Ltd Detecting leaks from sealed packages
US6746158B2 (en) 2002-01-31 2004-06-08 Agilent Technologies, Inc. Pull detach mechanism for fiber optic transceiver module
US20030161363A1 (en) 2002-02-27 2003-08-28 Optronx, Inc. Optical transmitter and transponder that operate without thermoelectric cooler
US6646777B2 (en) * 2002-02-27 2003-11-11 Jds Uniphase Corporation Optical isolator with improved mounting characteristics
US20030161603A1 (en) 2002-02-27 2003-08-28 Nadeau Mary J. Receiver optical bench formed using passive alignment
US20030161133A1 (en) 2002-02-27 2003-08-28 Optronx Optical transmitter header having passive electrical component
US6773532B2 (en) 2002-02-27 2004-08-10 Jds Uniphase Corporation Method for improving heat dissipation in optical transmitter
US6916122B2 (en) 2002-03-05 2005-07-12 Jds Uniphase Corporation Modular heat sinks
US6962834B2 (en) * 2002-03-22 2005-11-08 Stark David H Wafer-level hermetic micro-device packages
US7026223B2 (en) 2002-03-28 2006-04-11 M/A-Com, Inc Hermetic electric component package
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
JP2003046014A (ja) * 2002-04-25 2003-02-14 Hitachi Kokusai Electric Inc 素子の気密封止方法
US6869231B2 (en) * 2002-05-01 2005-03-22 Jds Uniphase Corporation Transmitters, receivers, and transceivers including an optical bench
TWI229435B (en) * 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US6952046B2 (en) * 2002-06-19 2005-10-04 Foster-Miller, Inc. Electronic and optoelectronic component packaging technique
US7090412B2 (en) 2002-08-02 2006-08-15 Sumitomo Electric Industries, Ltd. Optical module
AU2003288897A1 (en) 2002-08-29 2004-04-30 Norcom Systems Inc. System and process for detecting leaks in sealed articles
US7138293B2 (en) * 2002-10-04 2006-11-21 Dalsa Semiconductor Inc. Wafer level packaging technique for microdevices
US6929974B2 (en) * 2002-10-18 2005-08-16 Motorola, Inc. Feedthrough design and method for a hermetically sealed microdevice
US20050250253A1 (en) 2002-10-23 2005-11-10 Cheung Kin P Processes for hermetically packaging wafer level microscopic structures
US20040091268A1 (en) 2002-11-01 2004-05-13 Jds Uniphase Corporation Transmitter optical sub-assembly
US6969204B2 (en) * 2002-11-26 2005-11-29 Hymite A/S Optical package with an integrated lens and optical assemblies incorporating the package
JP4072677B2 (ja) * 2003-01-15 2008-04-09 セイコーエプソン株式会社 半導体チップ、半導体ウエハ、半導体装置及びその製造方法、回路基板並びに電子機器
JP2004235440A (ja) * 2003-01-30 2004-08-19 Mitsubishi Electric Corp マイクロパッケージとその製造方法
JP2004267167A (ja) * 2003-03-12 2004-09-30 Kanagawa Prefecture 老化上皮幹細胞の取得方法
JP4617636B2 (ja) 2003-03-19 2011-01-26 住友電気工業株式会社 光モジュール
US6860652B2 (en) * 2003-05-23 2005-03-01 Intel Corporation Package for housing an optoelectronic assembly
JP2005055670A (ja) * 2003-08-04 2005-03-03 Seiko Epson Corp Memsデバイス及びその製造方法並びにmemsモジュール
EP1515364B1 (en) * 2003-09-15 2016-04-13 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US20050063431A1 (en) * 2003-09-19 2005-03-24 Gallup Kendra J. Integrated optics and electronics
SG120123A1 (en) * 2003-09-30 2006-03-28 Micron Technology Inc Castellated chip-scale packages and methods for fabricating the same
US6992887B2 (en) * 2003-10-15 2006-01-31 Visteon Global Technologies, Inc. Liquid cooled semiconductor device
US7160039B2 (en) 2004-01-26 2007-01-09 Jds Uniphase Corporation Compact optical sub-assembly with integrated flexible circuit
US20070278666A1 (en) 2004-04-13 2007-12-06 Jean-Charles Garcia Method for Production of Electronic and Optoelectronic Circuits
US7410307B2 (en) 2004-06-04 2008-08-12 Finisar Corporation Modular optical device package compatible with multiple fiber connectors
US8244085B2 (en) 2004-07-02 2012-08-14 Finisar Corporation Optical transceiver interface for multimode fibers
TWI385764B (zh) * 2004-09-13 2013-02-11 Taiwan Semiconductor Mfg 於微機械結構中密封包裝之光學組件
US7081408B2 (en) * 2004-10-28 2006-07-25 Intel Corporation Method of creating a tapered via using a receding mask and resulting structure
KR100618343B1 (ko) * 2004-10-28 2006-08-31 삼성전자주식회사 패키징 기판의 제조방법 및 이를 이용한 패키징 방법.
US7149405B2 (en) 2004-10-29 2006-12-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Electro-optical subassemblies and method for assembly thereof
US7553695B2 (en) 2005-03-17 2009-06-30 Hymite A/S Method of fabricating a package for a micro component
TW200702762A (en) 2005-06-01 2007-01-16 Rohm & Haas Elect Mat Optical assemblies
US7280181B2 (en) 2005-06-30 2007-10-09 Intel Corporation Liquid crystal polymer optical filter carrier
JP5114017B2 (ja) * 2006-05-11 2013-01-09 オリンパス株式会社 半導体装置、該半導体装置の製造方法
US7531445B2 (en) * 2006-09-26 2009-05-12 Hymite A/S Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
EP1962344B1 (en) * 2007-02-25 2012-03-28 Samsung Electronics Co., Ltd Electronic device packages and methods of formation
KR101538648B1 (ko) * 2007-07-31 2015-07-22 인벤사스 코포레이션 실리콘 쓰루 비아를 사용하는 반도체 패키지 공정
DE102009018603B9 (de) * 2008-04-25 2021-01-14 Samsung Electronics Co., Ltd. Leuchtvorrichtung und Herstellungsverfahren derselben
US7704796B2 (en) * 2008-06-04 2010-04-27 Stats Chippac, Ltd. Semiconductor device and method of forming recessed conductive vias in saw streets

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6422766B1 (en) * 1998-05-27 2002-07-23 Siemens Aktiengesellschaft Ag Housing configuration for a laser module
US6477056B1 (en) * 2001-03-12 2002-11-05 Phillip J. Edwards Optoelectric mounting and interconnect apparatus
EP1321931A2 (en) * 2001-12-18 2003-06-25 STMicroelectronics, Inc. Integrated optical unit for use with minature optical discs

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11258229B2 (en) * 2019-08-16 2022-02-22 Cisco Technology, Inc. Thermal gel application on electronic and optical components
US20220085569A1 (en) * 2019-08-16 2022-03-17 Cisco Technology, Inc. Thermal gel application on electronic and optical components
US11728618B2 (en) * 2019-08-16 2023-08-15 Cisco Technology, Inc. Thermal gel application on electronic and optical components

Also Published As

Publication number Publication date
EP1515364B1 (en) 2016-04-13
EP1515364A3 (en) 2006-05-10
CA2481616C (en) 2013-01-08
CN101079387A (zh) 2007-11-28
US9410799B2 (en) 2016-08-09
US7888793B2 (en) 2011-02-15
US8993450B2 (en) 2015-03-31
KR101194532B1 (ko) 2012-10-24
TW200522380A (en) 2005-07-01
US20070072321A1 (en) 2007-03-29
US20140226690A1 (en) 2014-08-14
TWI241722B (en) 2005-10-11
US7129163B2 (en) 2006-10-31
US7508065B2 (en) 2009-03-24
CN100378938C (zh) 2008-04-02
CN1649117A (zh) 2005-08-03
JP4911885B2 (ja) 2012-04-04
KR20050027959A (ko) 2005-03-21
KR101131654B1 (ko) 2012-03-28
CA2481637C (en) 2014-05-13
US20170235066A1 (en) 2017-08-17
CA2843395C (en) 2016-08-16
KR101264048B1 (ko) 2013-05-21
US9817199B2 (en) 2017-11-14
CN1655342A (zh) 2005-08-17
EP1515364A2 (en) 2005-03-16
CN100511624C (zh) 2009-07-08
US8703603B2 (en) 2014-04-22
CA2793031A1 (en) 2005-03-15
CA2884972A1 (en) 2005-03-15
US20070164419A1 (en) 2007-07-19
CA2884972C (en) 2016-11-01
EP2937897A2 (en) 2015-10-28
CN100539127C (zh) 2009-09-09
US20110079893A1 (en) 2011-04-07
US20160344159A1 (en) 2016-11-24
KR20050027960A (ko) 2005-03-21
KR20110119594A (ko) 2011-11-02
US20180074272A1 (en) 2018-03-15
CA2843395A1 (en) 2005-03-15
CA2793031C (en) 2015-06-02
JP5002123B2 (ja) 2012-08-15
JP2005136384A (ja) 2005-05-26
CA2481637A1 (en) 2005-03-15
KR101146019B1 (ko) 2012-05-15
TW200518354A (en) 2005-06-01
EP1517166B1 (en) 2015-10-21
EP1517166A3 (en) 2005-07-06
US20070040268A1 (en) 2007-02-22
EP1517166A2 (en) 2005-03-23
JP2005175431A (ja) 2005-06-30
US20150184998A1 (en) 2015-07-02
TWI253761B (en) 2006-04-21
US9647420B2 (en) 2017-05-09
US20050111797A1 (en) 2005-05-26
CN101083255A (zh) 2007-12-05
CA2481616A1 (en) 2005-03-15
KR20120042811A (ko) 2012-05-03
US20050110157A1 (en) 2005-05-26
US7329056B2 (en) 2008-02-12
JP2012147007A (ja) 2012-08-02
US7449784B2 (en) 2008-11-11
EP2937897A3 (en) 2016-03-23

Similar Documents

Publication Publication Date Title
CN100386867C (zh) 器件封装及其制造和测试方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Virginia

Patentee after: Nor Ford Ronnie Co.

Address before: Virginia

Patentee before: ROHM AND HAAS ELECTRONIC MATERIALS, LLC

TR01 Transfer of patent right

Effective date of registration: 20170110

Address after: Virginia

Patentee after: ROHM AND HAAS ELECTRONIC MATERIALS, LLC

Address before: Massachusetts USA

Patentee before: LEARONAL JAPAN Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080507

Termination date: 20200915