CN100347815C - 清洗高介电常数材料沉积室的方法 - Google Patents

清洗高介电常数材料沉积室的方法 Download PDF

Info

Publication number
CN100347815C
CN100347815C CNB2004101005649A CN200410100564A CN100347815C CN 100347815 C CN100347815 C CN 100347815C CN B2004101005649 A CNB2004101005649 A CN B2004101005649A CN 200410100564 A CN200410100564 A CN 200410100564A CN 100347815 C CN100347815 C CN 100347815C
Authority
CN
China
Prior art keywords
gas
reactor
admixture
nitrogenous
boracic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004101005649A
Other languages
English (en)
Other versions
CN1638027A (zh
Inventor
吴定军
齐宾
S·A·莫蒂卡
E·J·小卡瓦基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN1638027A publication Critical patent/CN1638027A/zh
Application granted granted Critical
Publication of CN100347815C publication Critical patent/CN100347815C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Abstract

在此公开了一种干法蚀刻和室清洁高介电常数材料的方法。本发明一方面提供了一种从至少一部分反应器的表面清洁包含介电常数高于二氧化硅介电常数的物质的方法,包括:向反应器中引入包括含硼反应活性剂的第一气体混合物,其中第一气体混合物与在反应器中的物质反应,产生挥发性产物和含硼副产物;向反应器中引入包括含氟反应活性剂的第二气体混合物,其中第二气体混合物与反应器中的含硼副产物反应,形成挥发性产物;并从反应器中去除挥发性产物。

Description

清洗高介电常数材料沉积室的方法
技术领域
本发明涉及一种干法蚀刻和室清洁高介电常数材料的方法。具体而言,本发明提供了一种从至少一部分反应器的表面清洁包含介电常数高于二氧化硅介电常数的物质的方法。
背景技术
在半导体集成电路(IC)的制造中,介电材料如二氧化硅(SiO2)、氮化硅(Si3N4)和氧氮化硅(SiON)作为绝缘体广泛用于晶体管栅极。这样的绝缘体通常被称为栅电介质。当IC设备几何收缩,栅电介质层日益变薄。当栅电介质层接近几个纳米或更小厚度时,传统的SiO2、Si3N4和SiON材料电击穿并且不再绝缘。为了在非常小的厚度(≤10nm)下维持足够的击穿电压,可以使用高介电常数材料作为栅绝缘层。这里使用的术语“高介电常数材料”表示材料的介电常数大于约4.1,或者二氧化硅的介电常数。另外,高介电常数材料还可以在半导体内存芯片制造业的深沟式电容器中作为阻挡层使用。IC工业用了许多高介电常数材料做实验。最新的且最有前途的高介电常数材料是金属氧化物如Al2O3、HfO2、ZrO2及其混合物,和金属硅酸盐如HfSixOy、ZrSixOy及其混合物。在一些情况下,氮可以与这些金属氧化物和金属硅酸盐高介电常数材料(如HfSiON或AlSiON)混合,以改善介电常数并抑制高介电常数材料的结晶化。例如,高介电常数材料如HfO2的结晶化引发高泄漏电流和设备失灵。因此,与氮结合可以显著改善设备的可靠性。在另一些情况下,沉积二个或多个上述材料的层状结构作为高k介电层。例如,在深沟式电容器中使用被HfO2跟随的Al2O3层状结构作为阻挡层。
高介电常数材料如Al2O3、HfO2和ZrO2非常稳定并可以抵抗多数的蚀刻反应,这使得它们在其它材料的等离子体蚀刻中作为蚀刻终止层和硬质掩蔽层使用。见如K.K.Shih等人的“用于相移掩膜的二氧化铪蚀刻终止层”,J.Vac.Sci.Technol.B 11(6),第2130-2131页(1993);J.A.Britten等人的“用于多层介电栅应用的Sc2O3和HfO2膜的蚀刻终止特性”,J.Vac.Sci.Technol.A 14(5),第2973-2975页(1996);J.Hong等人的“用于电感耦合等离子体蚀刻的NiMnSb薄膜的Cl2和F2基的化学性质的比较”,J.Vac.Sci.Technol.A 17(4),第1326-1330页(1999);Visokay等人的美国专利US 5,972,722;Moise等人的美国专利US6,211,035 B1;Moise等人的美国专利申请公报US2001/0055852 A1的;和Moise等人的EP 1,001,459 A2。
一般这些高介电常数材料从化学前体中沉积,这些前体在沉积室中反应,在化学气相沉积(CVD)过程中形成膜。在一些情况下,这些高介电常数材料通过原子层沉积(ALD)沉积在半导体衬底(晶片)上,其中这些膜以可控制的、近单原子层沉积。实施ALD的设备和方法公开在如Gadgil等人的美国专利US 5,879,459,Doering等人的美国专利US 6,174,377 B1,Doering等人的美国专利申请公报US2001/0011526 A1的,Doering等人的美国专利US 6,387,185B2,Doering等人的WO 00/40772和Gadgil等人的WO 00/79019 A1中。属于Genus公司的这些同族专利提出“原位等离子清洗允许实现在非常长时间内维持清洁。”(见如美国专利US 6,387,185 B2第7栏,第27-28行。)然而,ALD室的等离子清洁的任何方法在上述同族中没有详细公开。
使用等离子源可以提高原子层沉积方法(PE-ALD)。例如,Pomarede等人在WO 02/43115 A2中提出使用等离子源以产生受激活性组分,它们制备/激活衬底表面以促进随后的ALD。Nguyen等人在WO 02/43114 A2中提出使用脉冲等离子进行ALD反应代替交替的前体化学流。此外,这些出版物没有公开任何在处理晶片之后清洁ALD残渣的方法。
虽然沉积过程在衬底(特别是硅晶片)上如愿地产生高介电常数膜时,但是形成这些膜的反应也非生产性地发生在沉积室内的其它曝露的表面上。沉积残渣的堆积导致粒子流出、沉积均匀性的下降和工艺漂移。这些作用导致晶片缺陷和随后的设备失灵。因此,所有CVD室,特别是ALD室必须定期地清洁。
不同的参考文献讨论了向等离子体中加入某些化合物以影响Al2O3的蚀刻速率。参考文献,W.G.M.Van Den Hoek,“Al2O3在氟和氯基的RF干法蚀刻等离子体中的蚀刻机理”.Met.Res.Soc.Symp.Proc.第68(1986)卷,第71-78页和Heiman等人的“Al2O3和Si的高速反应离子蚀刻”,J.Vac.Sci.Tech.,17(3),1980年5/6月,第731-34页,公开了分别向Ar等离子体中加入氟基气体或氯基气体以增加Al2O3的蚀刻速率。然而,这些研究都是在反应离子蚀刻(RIE)条件下进行的。离子轰击/溅射引发的反应比化学蚀刻反应具有更大的作用。像其它现有技术,这种极端的RIE条件不能用于清洁接地的室表面。
由于现有技术中缺乏去除高介电常数残渣的方法的介绍,ALD和CVD反应器一般通过机械方法(洗涤或鼓风)清洁,以清除所述室和下游设备(如泵集管和排废管汇)的内表面上的沉积残渣。然而,机械清洁方法费时、劳动量大并且损害被清洁的表面。
除了使用机械方法(洗涤或鼓风)和/或湿法化学制品以从室的内表面清除沉积残渣,还有一种使用含Cl反应活性剂的干法清洁方法,其中BCl3是优选的含Cl化合物之一。由于二个协同的化学机理,对于去除高介电常数沉积残渣,BCl3是一种特别有效的清洁剂。第一,硼原子可以作为一种氧清除剂,帮助金属-氧键断裂。第二,氯原子可以与金属原子反应,形成比相应的金属氧化物更易挥发的组分。即使这个方法可以有效地去除室内的高介电常数材料残渣,但它也能产生含硼固体副产物,如B2O3。硼残渣可以作为p型掺杂物,还可以引发集成电路的污染问题。此外,在真空管道上的沉积还可以引发真空装置失灵。因此,为了确保产物的质量和装置的完好,去除含硼残渣是必要的。
含氟等离子基方法(即干洗)通常用于从化学气相沉积(CVD)反应器的内表面去除硅化合物(如多晶硅、SiO2、SiON和Si3N4)和钨残渣。在这些方法中,氟与前述的残渣反应以产生如SiF4或WF6的挥发性组分,它们可以在清洁过程中从反应器中抽出。然而,单独的氟基化学组成不能有效去除上述讨论的高介电常数材料。见如J.Hong等人的J.Vac.Sci.Technol.A,1999年第17卷,第1326-1330页,其中作者将Al2O3涂覆的晶片曝露在NF3/Ar基感应耦合等离子体下,并发现“在高源动力下可获得的F原子浓度越高,氟化表面越厚,导致净沉积,而不是蚀刻。”在这种高介电常数材料的情况下,形成的金属氟化物产物无挥发性,并因此难于从反应器中去除。
因此,急需一种方法用化学干法清洗高介电常数材料残渣如Al2O3、HfO2、ZrO2、HfSixOy、ZrSixOy及其混合物,包含高介电常数材料如HfO2和Al2O3(也叫做HfAlO)的层状残渣,和源于含氮高介电常数材料如HfON、AlON和在HfON与AlON(HfAlON)之间的层状材料残渣及源于无通风/打开反应器的ALD反应器的残渣。有效的化学干洗方法将显著地提高生产力并降低ALD基沉积过程的所有权成本(CoO)。
在此所引用的所有参考文献全部结合作为参考。
发明内容
在此公开一种从衬底去除物质的多步方法。本发明一方面提供一种从至少一部分反应器的表面清洁包含介电常数高于二氧化硅介电常数的物质的方法,包括:向反应器引入包括含硼反应滑性剂的第一气体混合物,其中第一气体混合物与反应器中的物质反应,以提供挥发性产物和含硼副产物;向反应器中引入包括含氟反应活性剂的第二气体混合物,其中第二气体混合物与反应器中的含硼副产物反应,以形成挥发性产物;并从反应器中去除挥发性产物。
本发明另一个方面提供一种从至少一部分反应器的表面去除一种物质的方法,方法包括:提供一个反应器,其中至少一部分表面是至少部分地用所述物质涂覆,而且这种物质的介电常数为4.1或者更高,是过渡金属氧化物、过渡金属硅酸盐、第13族金属氧化物、第13族金属硅酸盐、含氮的第13族金属氧化物、含氮的第13族金属硅酸盐、含氮过渡金属氧化物、含氮过渡金属硅酸盐中的至少一种,或是一种层压材料,其包括至少一层的过渡金属氧化物、过渡金属硅酸盐、第13族金属氧化物、第13族金属硅酸盐、含氮的第13族金属氧化物、含氮的第13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐;向反应器引入包括含硼反应活性剂的第一气体混合物;使第一气体混合物充分地曝露于一种或多种能源下,以产生与物质反应的活性组分,并形成挥发性产物和含硼副产物;向反应器中引入包括含氟反应活性剂的第二气体混合物;使第二气体混合物充分地曝露于一种或多种能源下,以产生与含硼副产物反应的活性组分,并形成挥发性产物;并从反应器中去除挥发性产物。
本发明的这些和其它方面将在下面的详细描述中显示。
附图说明
图1是本发明方法的一个实施方案中的工艺流程图。
图2a和2b分别是Al2O3和HfO2用BCl3清洁后的通过XPS的高分辨光谱。
图3a和3b分别是Al2O3和HfO2在一步中用BCl3和NF3清洁后的通过XPS的高分辨光谱。
图4a和4b分别是Al2O3和HfO2在第一步中用BCl3和在第二步中用NF3清洁后的通过XPS的高分辨光谱。
具体实施方式
本发明方法可以用于干法蚀刻高介电常数材料和干法清洁用于在晶片表面沉积高介电常数材料的化学气相沉积(CVD)反应器(和更特别的ALD反应器)。将要从被蚀刻或清洁的表面去除的材料,从不挥发的固体材料变成比沉积在上面的高介电常数材料更具挥发性的材料,并随后将其去除,例如通过反应器真空泵。本发明的多步方法包括使用包括含硼反应活性剂的第一气体混合物与将被去除的物质反应,并形成挥发性产物和含硼的副产物。包括含氟反应活性剂的第二气体混合物再与含硼副产物反应并形成挥发性产物。由此,使用至少二种反应活性剂来挥发物质和/或反应副产物,以将物质从衬底上去除。
在一些实施方案中,在此描述的方法可以用于从至少一部分的衬底表面蚀刻物质。在这些实施方案中,适合的物质包括如半导体晶片等。在另一些实施方案中,在此描述的方法适于从衬底如CVD和/或ALD方法的反应室或反应器的至少一部分表面上清洁物质。本发明特别适合于去除沉积在反应器或反应室,例如工件平台、接地侧墙和/或特别反应室的喷射头的曝露表面上的高介电常数物质。
在一些实施方案中,将被去除的物质可以是过渡金属氧化物、过渡金属硅酸盐、第13族金属氧化物或第13族金属硅酸盐(根据IUPAC无机化学命名法,1990推荐,第13族金属包括Al、Ga、In和Tl,和占据第3-12族的过渡金属)。这些物质是高介电常数材料,具有比二氧化硅更高,或高于5,或至少7的介电常数(即高于约4.1)。优选地,这些物质是选自Al2O3、HfO2、ZrO2、HfSixOy、ZrSixOy及其混合物中的至少一种。那些本领域的熟练技术人员可以认识到分子式HfSixOy(和分子式ZrSixOy)代表HfO2(ZrO2)和SiO2的混合物,其中x大于0,y等于2x+2。
在本发明的其它实施方案中,这些物质可以是一种层压材料,其包含至少一种选自下面材料的层:过渡金属氧化物、过渡金属硅酸盐、第13族金属氧化物、第13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐、含氮的第13族金属氧化物或含氮的第13族金属硅酸盐。层压材料优选在至少一种前述的材料和,任选地其它材料如绝缘材料之间交替。例如,层压材料可以包含交替的HfO2和Al2O3层。层压材料还可以由一定量的第一材料层和一定量的第二材料层组成或,由至少一种第一材料外层和至少一种第二材料内层组成。
在本发明的另一个实施方案中,这些物质可以是含氮材料如含氮的过渡金属氧化物、含氮的过渡金属硅酸盐、含氮的第13族金属氧化物或含氮的第13族金属硅酸盐。这种类型的物质的例子包括HfAlON。
如前所述,将被去除的物质与反应活性剂反应,形成容易从衬底去除的挥发性产物。在一些优选的实施方案中,反应活性剂可以充分曝露于一种或多种能源下,以形成活性组分,如离子、原子团、受激中子等,它们与物质或反应副产物反应,并形成挥发性产物。适合的反应活性剂的例子包括:含硼气体和含氟气体。虽然在这里使用的反应活性剂有时描述为“气态的”,但可以理解化学试剂可以作为气体直接输送至反应器,可以作为蒸发的液体、升华的固体传输和/或通过惰性稀释气体输送至反应器。
反应活性剂可以通过多种方法输送至反应室,如传统的汽缸、安全传输系统、真空传输系统、固或液基发生器,它们在使用时产生反应活性剂。在本发明的一个实施方案中,将至少一种反应活性剂加入无活性的液态或气态稀释剂中,并以喷射或其它方式用于具有将被去除物质的衬底上。通过在一种或多种能源下曝露,反应活性剂能与物质反应,形成挥发性产物。在另一个实施方案如用于室清洁应用中,反应活性剂沉积在可被引入反应室的无活性载体上。无活性载体的材料在曝露于一种或多种能源之前或之中不与反应活性剂反应。在一些优选的实施方案中,无活性载体是多孔的。反应活性剂可以在曝露于一种或多种能源时释放,并与将被去除的物质反应,以形成挥发性产物。
如前所述,这个方法使用多步法从衬底上去除高介电常数物质。在这种情况下,使用包含含硼反应活性剂的第一气体混合物,将无挥发性高介电常数物质转变为相对易挥发的组分。这种转变是通过被去除的物质与包括含硼反应活性剂的第一气体混合物的接触来完成的。含硼反应活性剂的优选例子包括BCl3、BBr3、BI3、BF3及其混合物。在前述中,BCl3是最优选的反应活性剂。在这些实施方案中,BCl3在室温下是液化气体,并易于输送至反应室。
下面的表1-10显示了含硼反应活性剂的热化学计算。在这些表中,Keq代表记录的反应的平衡常数;这个常数值越大,反应越易于进行。
      表1  Al2O3与BCl3反应:Al2O3+2BCl3(g)B2O3+2AlCl3(g)
  温度(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   9.561   12.274   6.208   1.078E-005
  100.000   9.547   12.249   4.976   1.217B-003
  200.O00   9.424   11.959   3.766   1.822E-002
  300.O00   9.299   11.719   2.582   1.036E-001
  400.000   9.196   11.553   1.419   3.461E-001
  500.000   15.123   19.739   -0.138   1.094E+000
  600.00O   15.476   20.169   -2.135   3.422E+000
  700.000   15.748   20.464   -4.167   8.629E+000
  800.000   15.951   20.664   -6.224   1.852E+001
  900.000   16.097   20.794   -8.298   3.515E+001
  1000.000   16.190   20.871   -10.381   6.056E+001
            表2  反应的热力学数据:1.5HfO2+2BCl3(g)=1.5HfCl4(g)+B2O3
  T(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -17.999   -12.638   -14.547   4.367E+011
  100.000   -18.096   -12.924   -13.273   5.950E+007
  200.000   -18.268   -13.335   -11.959   3.346E+005
  300.000   -18.413   -13.614   -10.611   1.113E+004
  400.000   -18.507   -13.765   -9.241   1.001E+003
  500.000   -12.540   -5.525   -8.268   2.175E+002
  600.000   -12.126   -5.020   -7.743   8.672E+001
  700.000   -11.790   -4.655   -7.260   4.271E+001
  800.000   -11.524   -4.395   -6.808   2.436E+001
  900.000   -11.321   -4.213   -6.378   1.543E+001
  1000.000   -11.176   -4.094   -5.963   1.056E+001
         表3  ZrO2与BCl3反应:1.5ZrO2+2BCl3(g)1.5ZrCl4(g)+B2O3
  温度(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -29.845   -12.107   -26.538   1.717E+021
  25.000   -29.825   -12.038   -26.236   1.710E+019
  50.000   -29.822   -12.026   -25.935   3.481E+017
  75.000   -29.828   -12.047   -25.634   1.239E+016
  100.000   -29.842   -12.083   -25.333   6.891E+014
  125.000   -29.858   -12.126   -25.030   5.502E+013
  150.000   -29.875   -12.168   -24.726   5.913E+012
  175.000   -29.892   -12.207   -24.422   8.142E+011
  200.000   -29.908   -12.240   -24.116   1.381E+011
     表4  ZrSiO4与BCl3反应:ZrSiO4+2.667BCl3(g)SiC4(g)+ZrCl4(g)+1.333B2O3
  温度(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -31.065   -21.096   -25.303   1.764E+020
  25.000   -31.003   -20.879   -24.778   1.460E+018
  50.000   -30.962   -20.747   -24.258   2.554E+016
  75.000   -30.935   -20.665   -23.740   8.020E+014
  100.000   -30.916   -20.613   -23.224   4.013E+013
  125.000   -30.902   -20.577   -22.710   2.928E+012
  150.000   -30.891   -20.549   -22.196   2.914E+011
  175.000   -30.879   -20.523   -21.682   3.755E+010
  200.000   -30.867   -20.496   -21.169   6.012E+009
  225.000   -30.852   -20.466   -20.657   1.158E+009
  250.000   -30.835   -20.432   -20.146   2.612E+008
  275.000   -30.814   -20.393   -19.636   6.754E+007
  300.000   -30.790   -20.349   -19.127   1.967E+007
  325.000   -30.761   -20.300   -18.618   6.358E+006
  350.000   -30.729   -20.247   -18.112   2.252E+006
  375.000   -30.692   -20.190   -17.606   8.652E+005
  400.000   -30.652   -20.130   -17.102   3.572E+005
  425.000   -30.608   -20.066   -16.600   1.573E+005
  450.000   -22.891   -9.391   -16.100   7.349E+004
  475.000   -22.663   -9.081   -15.869   4.327E+004
  500.000   -22.443   -8.791   -15.646   2.649E+004
                             表5  ZrSiO4与BF3和BCl3反应:
             ZrSiO4+1.333BF3(g)+1.333BCl3(g)SiF4(g)+ZrCl4(g)+1.333B2O3
  温度(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -25.010   -21.014   -19.270   2.627E+015
  25.000   -24.951   -20.807   -18.748   5.540E+013
  50.000   -24.912   -20.681   -18.229   2.136E+012
  75.000   -24.885   -20.600   -17.713   1.319E+011
  100.000   -24.865   -20.545   -17.199   1.186E+010
  125.000   -24.849   -20.502   -16.686   1.445E+009
  150.000   -24.833   -20.463   -16.174   2.260E+008
  175.000   -24.816   -20.423   -15.663   4.354E+007
  200.000   -24.796   -20.380   -15.153   9.992E+006
  225.000   -24.772   -20.332   -14.644   2.661E+006
  250.000   -24.745   -20.278   -14.136   8.053E+005
  275.000   -24.712   -20.218   -13.630   2.721E+005
  300.000   -24.675   -20.152   -13.125   1.012E+005
  325.000   -24.633   -20.080   -12.622   4.095E+004
  350.000   -24.586   -20.003   -12.121   1.784E+004
  375.000   -24.535   -19.922   -11.622   8.303E+003
  400.000   -24.478   -19.837   -11.125   4.095E+003
  425.000   -24.418   -19.749   -10.630   2.128E+003
  450.000   -16.684   -9.050   -10.139   1.160E+003
  475.000   -16.439   -8.717   -9.917   7.894E+002
  500.000   -16.201   -8.405   -9.703   5.535E+002
           表6  反应的热力学数据:1.5HfO2+2BBr3(g)=1.5HfBr4(g)+B2O3
  T(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -53.997   -10.093   -51.241   1.003E+041
  100.000   -54.122   -10.459   -50.219   2.603E+029
  200.000   -54.371   -11.049   -49.143   5.026E+022
  300.000   -54.601   -11.492   -48.014   2.042E+018
  400.000   -54.773   -11.770   -46.850   1.629E+015
  500.000   -48.872   -3.621   -46.073   1.058E+013
  600.000   -48.508   -3.178   -45.734   2.806E+011
  700.000   -48.207   -2.851   -45.433   1.600E+010
  800.000   -47.960   -2.609   -45.161   1.577E+009
  900.000   -47.761   -2.431   -44.909   2.328E+008
  1000.000   -47.606   -2.304   -44.673   4.669E+007
            表7  反应的热力学数据:Al2O3+2BBr3(g)=2AlBr3(g)+B2O3
  T(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -2.212   12.687   -5.678   3.493E+004
  100.000   -2.279   12.503   -6.944   1.168E+004
  200.000   -2.482   12.022   -8.170   5.945E+003
  300.000   -2.685   11.632   -9.352   3.683E+003
  400.000   -2.852   11.362   -10.501   2.567E+003
  500.000   3.023   19.476   -12.035   2.525E+003
  600.000   3.337   19.858   -14.003   3.200E+003
  700.000   3.579   20.122   -16.003   3.928E+003
  800.000   3.764   20.303   -18.024   4.688E+003
  900.000   3.897   20.422   -20.061   5.464E+003
  1000.000   3.985   20.494   -22.107   6.241E+003
                 表8  反应的热力学数据:1.5HfO2+2Bl3(g)=1.5Hfl4(g)+B2O3
  T(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -58.042   -15.921   -53.694   9.212E+042
  100.000   -58.342   -16.842   -52.057   3.104E+030
  200.000   -58.692   -17.675   -50.329   1.775E+023
  300.000   -58.991   -18.250   -48.531   3.214E+018
  400.000   -59.216   -18.614   -46.686   1.442E+015
  500.000   -53.362   -10.530   -45.221   6.080E+012
  600.000   -53.042   -10.139   -44.189   1.152E+011
  700.000   -52.784   -9.859   -43.190   5.015E+009
  800.000   -52.581   -9.660   -42.214   3.961E+008
  900.000   -52.429   -9.524   -41.256   4.856E+007
  1000.000   -52.324   -9.438   -40.308   8.315E+006
                   表9  反应的热力学数据:1.5ZrO2+2BBr3(g)=1.5ZrBr4(g)+B2O3
  T(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -44.096   -11.573   -40.935   5.691E+032
  100.000   -44.194   -11.861   -39.768   1.965E+023
  200.000   -44.363   -12.264   -38.560   6.495E+017
  300.000   -44.489   -12.509   -37.320   1.706E+014
  400.000   -44.545   -12.600   -36.064   5.125E+011
  500.000   -38.522   -4.282   -35.212   9.000E+009
  600.000   -38.033   -3.686   -34.815   5.186E+008
  700.000   -37.604   -3.220   -34.470   5.520E+007
  800.000   -37.229   -2.853   -34.167   9.096E+006
  900.000   -36.902   -2.561   -33.897   2.067E+006
  1000.000   -36.619   -2.330   -33.653   5.989E+005
              表10  反应的热力学数据:1.5ZrO2+2Bl3(g)=1.5Zrl4(g)+B2O3
  T(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0.000   -74.430   -11.695   -71.235   1.001E+057
  100.000   -74.587   -12.171   -70.045   1.067E+041
  200.000   -74.805   -12.689   -68.801   6.053E+031
  300.000   -74-972   -13.013   -67.514   5.573E+025
  400.000   -75.065   -13.163   -66.204   3.134E+021
  500.000   -69.074   -4.891   -65.293   2.873E+018
  600.000   -68.614   -4.330   -64.833   1.695E+016
  700.000   -68.212   -3.894   -64.423   2.947E+014
  800.000   -67.861   -3.549   -64.052   1.110E+013
  900.000   -67.555   -3.276   -63.711   7.411E+011
  1000.000   -67.291   -3.061   -63.394   7.642E+010
如表1至10所示,高k物质和含硼反应活性剂之间的反应副产物之一是B2O3。含硼副产物如B2O3,与其它形式的固体含硼残渣一起给最终产物带来问题,或导致处理设备失灵。为了补救这个问题,使用包含含氟反应活性剂的第二气体混合物与硼副产物反应并形成挥发性组分,如气态的BF3。含氟反应活性剂的例子包括NF3(三氟化氮)、ClF3(三氟化氯)、ClF(氟化氯)、SF6(六氟化硫),全氟化碳如CF4和C2F6等,氢氟化碳如CHF3和C3F7H等,氧氟化碳如C4F8O(全氟四氢呋喃)等,次氟石如CF3-OF(氟氧化三氟甲烷(FTM))和FO-CF2-OF(双-二氟氧-二氟甲烷(BDM))等,氟过氧化物如CF3-O-O-CF3、F-O-O-F等,氟三氧化物如CF3-O-O-O-CF3等,COF2(羰基氟化物),NOF,NFxCl3-x,其中x=1-2,和F2等。在一些实施方案中,使用NF3是因为它是无腐蚀性气体,可以容易地被等离子体或热过程激活,并可以达到近零全程暖发射。另外,NF3可以最少地产生其它固体污染物。下面的表11显示了B2O3与NF3反应的热化学计算。
       表11  B2O3与NF3反应:B2O3+2NF3(g)2BF3(g)+N2(g)+O2(g)
  温度(℃)   ΔH(千卡)   ΔS(卡)   ΔG(千卡)   Keq
  0   -175.738   103.229   -203.935   1.53E+163
  100   -175.754   103.22   -214.271   3.21E+125
  200   -176.137   102.324   -224.552   5.37E+103
  300   -176.739   101.175   -234.728   3.25E+89
  400   -177.498   99.957   -244.784   3.02E+79
  500   -184.535   90.237   -254.302   7.77E+71
  600   -185.946   88.52   -263.237   7.83E+65
  700   -187.289   87.064   -272.015   1.24E+61
  800   -188.578   85.802   -280.656   1.45E+57
  900   -189.825   84.69   -289.18   7.53E+53
  1000   -191.039   83.697   -297.598   1.23E+51
除了在此描述的反应活性剂之外,还可以向第一和/或第二气体混合物中加入惰性稀释气体如氮、CO、氦、氖、氩、氪和氙等。惰性稀释气体用于,例如改变等离子体特性和清洁过程以更适合一些特殊的应用。在这些实施方案中,惰性气体的浓度在0-100%,或5-100%范围内。在一个实施方案中,BCl3的浓度是100%(或0%的惰性稀释气体),和NF3的浓度是15%(或85%的惰性稀释气体)。在另一个实施方案中,惰性稀释剂是还原气体。
第一和第二气体混合物充分暴露于一种或多种能源下产生活性组分以至少部分地与物质和/或含硼副产物反应,并形成挥发性组分。用于暴露步骤的能源包括,但不局限于α-粒子、β-粒子、γ-射线、x-射线、高能电子、电子束源的能量;紫外线(波长在10-400nm范围内)、可见光(波长在400-750nm范围内)、红外线(波长在750-105nm范围内)、微波(频率>109Hz)、射频波(频率>106Hz)能量;热量;RF、DC、电弧或电晕放电;声波、超声波或兆声波能量;及其混合物。
在一些实施方案中,热或等离子激活和/或增强可以显著影响干法蚀刻和干法清洁高介电常数材料的功效。对于热激活,衬底可加热至600℃,或400℃,或300℃。压力范围通常从10毫乇至760乇,或从1乇至760乇。
在一些实施方案中,通过等离子体在原位或在含将被去除的物质和/或含硼副产物的反应器中激活反应活性剂。对于原位等离子体活化,一种可以用13.56MHz的RF电源产生等离子体,其具有至少0.2W/cm2,或至少0.5W/cm2,或至少1W/cm2的RF功率密度。一种还可以在低于13.56MHz的RF频率下操作原位等离子体,以提高接地ALD室壁的离子辅助清洁。操作压力通常在2.5毫乇至100乇,或5毫乇至50乇,或10毫乇至20乇的范围内。或者,一种还可以结合热和等离子增强,用于更有效清洁ALD室壁。
在其它一些实施方案中,另外再使用或代替原位等离子体使用远程等离子体源,以产生将被引入反应器的活性组分。在这些实施方案中,通过RF或微波源产生远程等离子体源。另外,远程等离子体产生的活性组分与高介电常数材料之间的反应可以通过加热ALD室成分,升温至600℃,或400℃,或300℃来激活/增强。
还可以使用激活和增强清洁过程的其它方法。例如,可使用光子诱导化学反应来产生活性组分,并增强蚀刻/清洁反应。
除了在热力学上有利之外,化学反应通常需要外部能源来克服活性能垒,以便反应进行。外部能源可以是,例如热力加热或等离子激活。较高温度可以加速化学反应,并使反应副产物更易挥发。然而,在产物沉积室中在温度上有实际的限制。等离子体可以产生更具活性的组分以促进反应。等离子体中的离子可以通过等离子壳层内的电场加速以获得能量。碰撞在表面上的高能离子能提供所需的能量来克服反应活性能垒。离子轰击还有助于挥发和去除反应副产物。这些在等离子蚀刻/清洁和反应离子蚀刻中是普通的机理。或者,可将热和等离子体激活机理结合以增强所需的用于干法蚀刻/清洁高介电常数材料的反应。作为原位等离子清洁的另一种选择,可以使用远程等离子源来产生用于从沉积室清洁高介电常数材料残渣的更具活性的组分。另外,远程等离子产生的活性组分和高介电常数材料之间的反应可以通过加热CVD或ALD室成分,升温至600℃,或400℃,或300℃来激活和/或增强。
图1是本发明方法用于室清洁应用的一个实施方案的工艺流程图。在步骤10中,衬底如具有将被去除物质的CVD或ALD反应器,抽真空至压力如小于10-5乇。接着,在步骤20中,将包括含硼反应活性剂的第一气体混合物引入反应器。在步骤30中,第一气体混合物暴露于一种或多种能源如热能和/或等离子体能源下,以诱导其与将被去除的物质反应,形成挥发性产物和含硼副产物。在步骤40和50中分别停止或减少第一气体混合物,并开始或增加包括含氟反应活性剂的第二气体混合物。在另一个实施方案中,第二气体混合物开始于步骤60或增加,并且第一气体混合物停止或减少于步骤70。通过与第二气体混合物中的含氟反应活性剂反应去除含硼副产物,并形成挥发性产物。再从反应器中去除该挥发性产物。再参考图1,再在步骤80中停止一种或多种能源。在步骤90中,停止或减少第二气体混合物。最后,反应器在步骤100中抽真空至压力小于10-5乇,从而去除反应器中所有残渣和工艺气体。可以重复多次步骤20至90,以去除反应器中的物质。
在如反应器中有大量的高介电常数残渣的实施方案中,可在第一步骤中经过一段长时间使用包括含硼反应活性剂的第一气体混合物,以去除高介电常数残渣。在满意地去除高介电常数材料之后,再在相对短于第一清洁步骤的时间内使用包括含氟反应活性剂的第二气体混合物,以确保从室和真空歧管中充分地去除硼残渣。这个工艺次序通过将工艺气体随时间的变化最小化,可以缩短整体的室清洁时间。
在其它的实施方案中,控制第一和第二气体混合物在短时间内暴露,再重复多次以完成清洁过程。这个工艺次序通过阻止非挥发性含硼残渣钝化室内表面,可以缩短整体的室清洁时间。由于多种因素如反应活性剂的选择、温度等,第一和第二气体混合物暴露的处理时间可针对高介电常数残渣的快速去除和硼残渣的完全去除而优化。
本发明将参照下面的实施例更详细的阐明,但是本发明不局限于此。
实施例
下面是利用上述清洁高介电常数材料的化学过程的实施例。实施例1-3是在平行板电容耦合RF等离子体下进行的。二个电极之间的间隙距离是1英寸。样品取样管是从高介电常数材料Al2O3、HfO2和ZrO2通过原子层沉积而涂覆的晶片上制备的。每个实验进行时,样品取样管置于运载晶片上并通过负载锁加载在反应器夹盘上。工艺气体从上部安装的喷射头引入反应器。再通过13.56MHz RF电源向夹盘提供动力以在原位产生等离子体。反应器通过管线连接到涡轮泵。在实施例中,反应器夹盘水冷到20℃。
在一步清洁过程中,抽空反应器达到基线真空压力;引入工艺气体达到预定压力;激活RF电源以预定时间;停止工艺气体;并收回样品取样管/运载晶片。在二步清洁过程中,在抽空反应器之后,除了引入第一工艺气体达到预定压力外进行同样的步骤;激活RF电源以预定时间;停止第一工艺气体;抽空反应器达到基线真空压力;引入第二工艺气体达到预定压力;激活RF电源以预定时间;停止第二工艺气体;并收回样品取样管/运载晶片。
通过椭圆光度法,在加工等离子体计时暴露之前和之后,测定在样品管上高介电常数膜的厚度。在等离子加工之后高介电常数膜厚度的改变用于计算蚀刻速率。除了蚀刻速率,还可以测量等离子体dc自偏电压(Vbias)和表面化学沉积。使用X-射线光电子分光光谱(XPS)测量表面化学沉积。在XPS分析中,最初通过低分辨测量扫描检查测试样品管的表面,以测定哪种元素存在。高分辨光谱可以获得结合能(即化学态)的测定和在测量光谱中测定被观察元素的浓度。通过使用物理电子模型5700LSci ESCA分光计的原子灵敏系数来达到元素的量化。碳电子的近似的逃逸深度(3λsinθ)是25埃。对于这种仪器硼的最小检测限是0.1atom%。
实施例1:使用BCl3一步清洁
对Al2O3和HfO2样品进行一步清洁实验。实验条件和结果列于表12中。Bls的高分辨XPS光谱如图2a和2b所示。在BCl3等离子体蚀刻步骤后,硼残渣保留在Al2O3和HfO2样品的表面,硼残渣以B3+存在。Al2O3和HfO2的蚀刻速率分别是4.1nm/mm和5.4nm/min。
实施例2:使用BCl3和NF3混合物的一步清洁
进行本实验以测定BCl3和NF3的混合物能否消除硼残渣。实验条件和结果列于表12中。Bls的高分辨XPS光谱如图3a和3b所示。如表12所示,硼残渣仍然保留在Al2O3和HfO2样品的表面。
实施例3:二步清洁
在这个实验中,在第一气体混合物中使用BCl3作为反应活性剂,第二气体混合物中使用NF3和He的混合物作为反应活性剂。表12列出实验条件和结果。图4a和4b表示Bls的高分辨XPS光谱。HfO2样品在1分钟内、Al2O3样品在3分钟内去除硼残渣,达到低于XPS仪器的最低检测限(0.1atom%)的水平。当第二步骤的清洁时间为1分钟时,Al2O3样品仍含有0.2atom%的硼残渣。
表12
  实施例   材料   功率密度(W/cm2)   压力(mTorr)   第一工艺气体流(sccm)    第二工艺气体流(sccm)   第二步骤清洁时间 硼残渣(atomic%)
  NF3   He
  1   Al2O3   0.55   500   10(BCl3)   0   0   0 0.9%
  1   HfO2   0.55   500   10(BCl3)   0   0   0 0.8%
  2   Al2O3   0.55   500   11.25(BCl3);3.75(NF3)   0   0   0 0.8%
  2   HfO2   0.55   500   11.25(BCl3);3.75(NF3)   0   0   0 0.6%
  3   Al2O3   0.55   500   10(BCl3)   8.7   49.3   3 <0.1
  3   HfO2   0.55   500   10(BCl3)   8.7   49.3   1 <0.1
虽然参照具体的实施例并详细描述了本发明,但是很明显,本领域的熟练技术人员可以在不违背本发明的精神和范围的情况下进行不同的变化和改变。

Claims (23)

1、一种从至少一部分反应器表面上清洁物质的方法,该方法包括:
第一引入步骤:向反应器中引入包括含硼反应活性剂的第一气体混合物,其中第一气体混合物与反应器中的物质反应,产生挥发性产物和含硼副产物,其中该物质的介电常数高于二氧化硅的介电常数,并且其中该含硼反应活性剂选自BCl3、BBr3、BI3、BF3及其混合物;
第二引入步骤:向反应器中引入包括含氟反应活性剂的第二气体混合物,其中第二气体混合物与反应器中的含硼副产物反应,形成挥发性产物;和
去除步骤:从反应器中去除挥发性产物。
2、如权利要求1的方法,其中反应器是原子层沉积反应器。
3、如权利要求1的方法,其中第二引入步骤在至少一部分第一引入步骤期间进行。
4、如权利要求1的方法,其中第二引入步骤在第一引入步骤完成后进行。
5、如权利要求1的方法,其中去除步骤在至少一部分第一和第二引入步骤至少之一期间进行。
6、如权利要求1的方法,其中第一和第二引入步骤可以交替、多次进行。
7、如权利要求1的方法,其中物质是选自过渡金属氧化物、过渡金属硅酸盐、第13族金属氧化物、第13族金属硅酸盐、含氮的第13族金属氧化物、含氮的第13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或是一种层压材料,其包括至少一层的过渡金属氧化物、过渡金属硅酸盐、第13族金属氧化物、第13族金属硅酸盐、含氮的第13族金属氧化物、含氮的第13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐及其混合物。
8、如权利要求1的方法,其中所述物质是选自Al2O3、HfO2、ZrO2、HfSixOy、ZrSixOy及其混合物,其中x值大于0,y等于2x+2,和上述任何含氮化合物中的至少一种。
9、如权利要求1的方法,其中含硼反应活性剂是BCl3
10、如权利要求1的方法,其中含氟反应活性剂是选自NF3、ClF3、ClF、SF6、全氟化碳、氢氟化碳、氧氟化碳、次氟石、氟过氧化物、氟三氧化物、COF2、NOF、F2、NFxCl3-x,其中x是1-2,及其混合物中的至少一种。
11、如权利要求10的方法,其中含氟反应活性剂是NF3
12、如权利要求10的方法,其中含氟反应活性剂是F2
13、如权利要求1的方法,其中第一气体混合物和第二气体混合物至少之一从至少一种气体钢筒、安全传输系统或真空传输系统输送至反应器。
14、如权利要求1的方法,其中第一气体混合物和第二气体混合物至少之一通过使用地发生器在原位形成。
15、如权利要求1的方法,其中第一气体混合物和第二气体混合物至少之一还包括惰性气体稀释剂。
16、如权利要求15的方法,其中惰性气体稀释剂选自氮、CO、氦、氖、氩、氪、氙及其混合物。
17、一种从至少一部分反应器表面去除一种物质的方法,该方法包括:
提供至少一部分表面被所述物质至少部分地涂覆的反应器,并且其中所述物质具有4.1或更高的介电常数,是选自过渡金属氧化物、过渡金属硅酸盐、第13族金属氧化物、第13族金属硅酸盐、含氮的第13族金属氧化物、含氮的第13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐的至少一种,或是一种层压材料,其包含至少一层的过渡金属氧化物、过渡金属硅酸盐、第13族金属氧化物、第13族金属硅酸盐、含氮的第13族金属氧化物、含氮的第13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐;
第一引入步骤:向反应器中引入包括含硼反应活性剂的第一气体混合物,其中该含硼反应活性剂选自BCl3、BBr3、BI3、BF3及其混合物;
第一暴露步骤:第一气体混合物暴露于一种或多种足以产生与物质反应的活性组分能源下,并形成挥发性产物和含硼副产物;和
第二引入步骤:向反应器中引入包括含氟反应活性剂的第二气体混合物;
第二暴露步骤:第二气体混合物暴露于一种或多种足以产生与含硼副产物反应的活性组分能源下,并形成挥发性产物;和
去除步骤:从反应器中去除挥发性产物。
18、如权利要求17的方法,其中第一气体混合物暴露于一种或多种能源下,并且在第二引入步骤前进行第一暴露步骤。
19、如权利要求17的方法,其中第一气体混合物暴露于一种或多种能源下,并且在至少一部分第一引入步骤期间进行第一暴露步骤。
20、如权利要求17的方法,其中第二气体混合物暴露于一种或多种能源下,并且在至少一部分第二引入步骤期间进行第二暴露步骤。
21、如权利要求17的方法,其中第一和第二暴露步骤至少之一的温度至少为150℃。
22、如权利要求17的方法,其中第一和第二暴露步骤至少之一的压力至少为10毫乇。
23、一种从至少一部分反应器表面上清洁其介电常数高于二氧化硅的介电常数的物质的方法,该方法包括:
向反应器中引入包括含硼反应活性剂的第一气体混合物,其中第一气体混合物与反应器中的物质反应,产生挥发性产物和包括B2O3的含硼副产物,其中该含硼反应活性剂选自BCl3、BBr3、BI3、BF3及其混合物;
向反应器中引入包括含氟反应活性剂的第二气体混合物,其中第二气体混合物与反应器中的含硼副产物反应,形成挥发性产物;和
从反应器中去除挥发性产物。
CNB2004101005649A 2003-11-25 2004-11-25 清洗高介电常数材料沉积室的方法 Expired - Fee Related CN100347815C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/721719 2003-11-25
US10/721,719 US7055263B2 (en) 2003-11-25 2003-11-25 Method for cleaning deposition chambers for high dielectric constant materials

Publications (2)

Publication Number Publication Date
CN1638027A CN1638027A (zh) 2005-07-13
CN100347815C true CN100347815C (zh) 2007-11-07

Family

ID=34465673

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004101005649A Expired - Fee Related CN100347815C (zh) 2003-11-25 2004-11-25 清洗高介电常数材料沉积室的方法

Country Status (7)

Country Link
US (1) US7055263B2 (zh)
EP (1) EP1536035A3 (zh)
JP (2) JP2005159364A (zh)
KR (1) KR100644176B1 (zh)
CN (1) CN100347815C (zh)
SG (1) SG112099A1 (zh)
TW (1) TWI255862B (zh)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050142715A1 (en) * 2003-12-26 2005-06-30 Fujitsu Limited Semiconductor device with high dielectric constant insulator and its manufacture
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
KR100698068B1 (ko) * 2004-12-30 2007-03-23 동부일렉트로닉스 주식회사 핀 구조 전계 트랜지스터 및 이의 제조방법
US8097092B2 (en) 2005-06-21 2012-01-17 Kninklijke Philips Electronics N.V. Method of cleaning and after treatment of optical surfaces in an irradiation unit
JP5124452B2 (ja) * 2005-06-21 2013-01-23 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 照射ユニット光学面の二段階クリーニング方法
US7365349B2 (en) * 2005-06-27 2008-04-29 Cymer, Inc. EUV light source collector lifetime improvements
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7569111B2 (en) * 2006-04-19 2009-08-04 United Microelectronics Corp. Method of cleaning deposition chamber
US7877895B2 (en) 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US20080047579A1 (en) * 2006-08-25 2008-02-28 Bing Ji Detecting the endpoint of a cleaning process
JP2008060171A (ja) * 2006-08-29 2008-03-13 Taiyo Nippon Sanso Corp 半導体処理装置のクリーニング方法
WO2008149741A1 (ja) * 2007-05-31 2008-12-11 Ulvac, Inc. プラズマ処理装置のドライクリーニング方法
JP5110987B2 (ja) * 2007-07-05 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびコンピュータ読み取り可能な記録媒体
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
JP2009076590A (ja) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
KR101566029B1 (ko) * 2008-04-10 2015-11-05 램 리써치 코포레이션 High-k 유전체 재료의 선택적 에칭
US7977246B2 (en) * 2008-04-25 2011-07-12 Applied Materials, Inc. Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
DE102008037951B4 (de) * 2008-08-14 2018-02-15 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen von mit Gallium verunreinigten Schichten
DE102008037943B4 (de) * 2008-08-14 2018-04-26 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen und Halbleiterbauelement mit einer Struktur geätzt mittels eines derartigen Verfahrens
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110079251A1 (en) * 2009-04-28 2011-04-07 Olga Kryliouk Method for in-situ cleaning of deposition systems
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
KR101630234B1 (ko) * 2009-11-17 2016-06-15 주성엔지니어링(주) 공정챔버의 세정방법
DE102009047304B4 (de) * 2009-11-30 2012-04-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Leistungssteigerung in PFET-Transistoren mit einem Metallgatestapel mit großem ε durch Verbessern des Dotierstoffeinschlusses
JP2012216718A (ja) * 2011-04-01 2012-11-08 Kaneka Corp Cvd装置のクリーニング方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102011056538A1 (de) * 2011-12-16 2013-06-20 Aixtron Se Verfahren zum Entfernen unerwünschter Rückstände aus einem MOCVD-Reaktor sowie zugehörige Vorrichtung
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180350571A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
WO2019051364A1 (en) * 2017-09-11 2019-03-14 Applied Materials, Inc. IN SITU SELECTIVE CLEANING OF HIGH K FILMS FROM A PROCESSING CHAMBER USING A REACTIVE GAS PRECURSOR
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102504833B1 (ko) 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
JP6826558B2 (ja) 2018-06-04 2021-02-03 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10964527B2 (en) 2018-06-21 2021-03-30 Applied Materials, Inc. Residual removal
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113053718B (zh) * 2021-03-16 2022-10-28 江苏杰太光电技术有限公司 一种沉积掺杂晶硅薄膜后真空腔体的清洁方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0855453A1 (en) * 1997-01-24 1998-07-29 Applied Materials, Inc. Methods and apparatus for cleaning using a chlorine containing gas plasma
US5817578A (en) * 1995-05-24 1998-10-06 Nec Corporation Method of cleaning vacuum processing apparatus
EP0871211A2 (en) * 1997-03-19 1998-10-14 Hitachi, Ltd. Plasma treatment method and manufacturing method of semiconductor device
US5914050A (en) * 1997-09-22 1999-06-22 Applied Materials, Inc. Purged lower liner
US20010008138A1 (en) * 1996-06-28 2001-07-19 Alex Demos In-situ chamber cleaning method for substrate processing chamber using high density inductively coupled fluorine plasma
CN1336685A (zh) * 2000-08-02 2002-02-20 联华电子股份有限公司 制造半导体电容器的方法
EP1382716A2 (en) * 2002-07-18 2004-01-21 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
JPH02185977A (ja) 1989-01-12 1990-07-20 Sanyo Electric Co Ltd 膜形成用真空装置
US5288662A (en) 1992-06-15 1994-02-22 Air Products And Chemicals, Inc. Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning
KR100293830B1 (ko) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5454903A (en) 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5972722A (en) 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
JP2000133633A (ja) 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6221169B1 (en) * 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
WO2000079019A1 (en) 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
JP2001257197A (ja) * 2000-03-10 2001-09-21 Hitachi Ltd 半導体デバイスの製造方法および製造装置
WO2001071790A1 (fr) * 2000-03-17 2001-09-27 Hitachi, Ltd. Procede de fabrication d'un dispositif a semi-conducteur
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4163395B2 (ja) * 2001-05-17 2008-10-08 株式会社日立国際電気 半導体装置の製造方法および半導体装置の製造装置
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6686594B2 (en) * 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5817578A (en) * 1995-05-24 1998-10-06 Nec Corporation Method of cleaning vacuum processing apparatus
US20010008138A1 (en) * 1996-06-28 2001-07-19 Alex Demos In-situ chamber cleaning method for substrate processing chamber using high density inductively coupled fluorine plasma
EP0855453A1 (en) * 1997-01-24 1998-07-29 Applied Materials, Inc. Methods and apparatus for cleaning using a chlorine containing gas plasma
EP0871211A2 (en) * 1997-03-19 1998-10-14 Hitachi, Ltd. Plasma treatment method and manufacturing method of semiconductor device
US5914050A (en) * 1997-09-22 1999-06-22 Applied Materials, Inc. Purged lower liner
CN1336685A (zh) * 2000-08-02 2002-02-20 联华电子股份有限公司 制造半导体电容器的方法
EP1382716A2 (en) * 2002-07-18 2004-01-21 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Also Published As

Publication number Publication date
JP2005159364A (ja) 2005-06-16
TW200517523A (en) 2005-06-01
US20050108892A1 (en) 2005-05-26
SG112099A1 (en) 2005-06-29
EP1536035A2 (en) 2005-06-01
TWI255862B (en) 2006-06-01
KR100644176B1 (ko) 2006-11-10
EP1536035A3 (en) 2005-07-13
KR20050050569A (ko) 2005-05-31
CN1638027A (zh) 2005-07-13
JP2009033202A (ja) 2009-02-12
US7055263B2 (en) 2006-06-06

Similar Documents

Publication Publication Date Title
CN100347815C (zh) 清洗高介电常数材料沉积室的方法
US7357138B2 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US8821985B2 (en) Method and apparatus for high-K gate performance improvement and combinatorial processing
TWI381438B (zh) 半導體處理裝置之清潔方法及矽基板之蝕刻方法
TW554418B (en) Method and device for cleaning chemical vapor deposition apparatus
CN101378850A (zh) 加强用于介电膜层的远程等离子体源清洁
US20060162861A1 (en) Method and control system for treating a hafnium-based dielectric processing system
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
US20140302254A1 (en) Plasma cleaning method
JP2006035213A (ja) 窒化チタンの除去方法
CN101053068A (zh) 在等离子体蚀刻处理期间保护硅或碳化硅电极表面免于形态改性的方法
JP2006339523A (ja) 半導体処理装置のクリーニング方法および高誘電率酸化膜のエッチング方法
CN1990898A (zh) 对于用于沉积含铝金属膜和含铝金属氮化物膜的装置的清洁方法
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
US10688538B2 (en) Aluminum fluoride mitigation by plasma treatment
KR100653217B1 (ko) 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
CN1839218A (zh) 用于沉积具有可调节的性质的材料的方法和装置
KR100575847B1 (ko) 반도체 및 평판디스플레이 설비의 부산물 포집방법
JP2008060171A (ja) 半導体処理装置のクリーニング方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071107

Termination date: 20131125