CA2481637A1 - Device package and methods for the fabrication and testing thereof - Google Patents

Device package and methods for the fabrication and testing thereof Download PDF

Info

Publication number
CA2481637A1
CA2481637A1 CA002481637A CA2481637A CA2481637A1 CA 2481637 A1 CA2481637 A1 CA 2481637A1 CA 002481637 A CA002481637 A CA 002481637A CA 2481637 A CA2481637 A CA 2481637A CA 2481637 A1 CA2481637 A1 CA 2481637A1
Authority
CA
Canada
Prior art keywords
lid
optoelectronic device
wafer
optical
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CA002481637A
Other languages
French (fr)
Other versions
CA2481637C (en
Inventor
David W. Sherrer
Larry J. Rasnake
John J. Fisher
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuvotronics Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to CA2843395A priority Critical patent/CA2843395C/en
Publication of CA2481637A1 publication Critical patent/CA2481637A1/en
Application granted granted Critical
Publication of CA2481637C publication Critical patent/CA2481637C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4248Feed-through connections for the hermetical passage of fibres through a package wall
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/16Measuring arrangements characterised by the use of optical techniques for measuring the deformation in a solid, e.g. optical strain gauge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/36Mechanical coupling means
    • G02B6/3628Mechanical coupling means for mounting fibres to supporting carriers
    • G02B6/3684Mechanical coupling means for mounting fibres to supporting carriers characterised by the manufacturing process of surface profiling of the supporting carrier
    • G02B6/3692Mechanical coupling means for mounting fibres to supporting carriers characterised by the manufacturing process of surface profiling of the supporting carrier with surface micromachining involving etching, e.g. wet or dry etching steps
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4206Optical features
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/421Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical component consisting of a short length of fibre, e.g. fibre stub
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4228Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements
    • G02B6/423Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements using guiding surfaces for the alignment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4239Adhesive bonding; Encapsulation with polymer material
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/424Mounting of the optical light guide
    • G02B6/4243Mounting of the optical light guide into a groove
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4244Mounting of the optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4256Details of housings
    • G02B6/4257Details of housings having a supporting carrier or a mounting substrate or a mounting plate
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4266Thermal aspects, temperature control or temperature monitoring
    • G02B6/4268Cooling
    • G02B6/4271Cooling with thermo electric cooling
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4292Coupling light guides with opto-electronic elements the light guide being disconnectable from the opto-electronic element, e.g. mutually self aligning arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/0014Measuring characteristics or properties thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02208Mountings; Housings characterised by the shape of the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02218Material of the housings; Filling of the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02251Out-coupling of light using optical fibres
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02253Out-coupling of light using lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/023Mount members, e.g. sub-mount members
    • H01S5/02325Mechanically integrated components on mount members or optical micro-benches
    • H01S5/02326Arrangements for relative positioning of laser diodes and optical components, e.g. grooves in the mount to fix optical fibres or lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0235Method for mounting laser chips
    • H01S5/02355Fixing laser chips on mounts
    • H01S5/0237Fixing laser chips on mounts by soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/024Arrangements for thermal management
    • H01S5/02407Active cooling, e.g. the laser temperature is controlled by a thermo-electric cooler or water cooling
    • H01S5/02415Active cooling, e.g. the laser temperature is controlled by a thermo-electric cooler or water cooling by using a thermo-electric cooler [TEC], e.g. Peltier element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/024Arrangements for thermal management
    • H01S5/02469Passive cooling, e.g. where heat is removed by the housing as a whole or by a heat pipe without any active cooling element like a TEC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/06Arrangements for controlling the laser output parameters, e.g. by operating on the active medium
    • H01S5/068Stabilisation of laser output parameters
    • H01S5/0683Stabilisation of laser output parameters by monitoring the optical output parameters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02208Mountings; Housings characterised by the shape of the housings
    • H01S5/02216Butterfly-type, i.e. with electrode pins extending horizontally from the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02218Material of the housings; Filling of the housings
    • H01S5/0222Gas-filled housings

Abstract

Provided are optoelectronic device packages. The packages include a base substrate having an optoelectronic device mounting region on a surface of the base substrate and a lid mounting region. An optoelectronic device is mounted on the optoelectronic device mounting region. A lid is mounted an the lid mounting region to form an enclosed volume between the base substrate and the lid. The optoelectronic device is in the enclosed volume. The lid has an optically transmissive region suitable for transmitting light of a given wavelength along an optical path to or from the optoelectronic device, wherein at least a portion of the lid mounting region is disposed along the optical path below the surface of the base substrate to a depth below the optical path. Also provided are wafer or grid level optoelectronic device packages, wafer- or grid-level optoelectronic device package lid and their methods of formation, and connectorized optoelectronic devices.

Description

DEVICE PACKAGE AND METHODS
FOR THE FABRICATION AND TESTING THEREOF
Cross-Reference to Related Annlications [0001] This applications claims the beneft under 35 U.S.C. ~119(e) of U.S.
Provisional Application No. 60/502,868, filed September 15, 2003, the entire contents of which are incorporated herein by reference.
Field of the Invention [0002] The present invention relates generally to device packages, and in particular but not exclusively to hermetically sealed micro-optical device packages. The invention further relates to methods of testing device packages, such as methods of detecting leakage in and methods of electrically and optically testing device packages. The invention still further relates to sealed via. structures, to connectorized optoelectronic devices, and to optoelectronic device package lids. Still further, the invention relates to methods of bonding components togc;ther.
Fack~round of the Invention [0003) Optoelectronic devices play a crucial role in data communications. Yet, to advance the adoption and use of such devices, the ability to manufacture optoelectronic devices efficiently is desirable in reaching the low cost targets demanded. Of particular importance is reducing the cost of the process of packaging optoelectronic devices, since a large portion of the cost of an optoelectronic communications device (up to 75%) is packaging cast, Packaging is typically conducted on a unit-by-unit basis. Techniques which would allow for the manufacture of optoelectronic device packages on a large scale, for example, on a wafer- or grid-level would therefore be beneficial from the cost standpoint.
[0004] Hermetically sealed optoelectronic device packages are known. Such packages provide for containment and protection of the enclosed devices and DN 521;?2A
components from contamination and water vapor present in the atmosphere outside of the package. Such packages also provide the ability to couple the packaged optoelectronic devices to other optical components, such as optical fibers.
These packages typically include an open-top case made of Kovar which includes a cavity region in which a laser is mounted. An optical fiber is provided which extends into the cavity through a feedthrough in the sidewall of the case and a cover is attached to the case to seal the cavity. There are various drawbacks associated with the use of such a fiber feedthrough. Far example, where a metallized fiber is used in forming the hermetic seal, the cost can be very high. In addition, it is difficult to effectively seal the fiber in the feedthrough, making the package susceptible to leakage and loss in hermeticity. A hermetic package not relying on a fiber feedthrough would therefore be desirable.
[0005] Further, leakage in device packages typically result in exposure of the contained components to water vapor and contaminants, causing performance degradation of the components. This is particularly the case for optoelectronic and optical components. A simple technique for testing the hermeticity of the sealed device package would thus be beneficial.
[0006] There is thus a need in the art for technology that overcomes or conspicuously ameliorates one or more of the problems described above with respect to the state of the art.
Summary of the Invention.
[0007] According to a first aspect of the invention, an optoelectronic device package is provided. The packages include a base substrate having an optoelectronic device mounting region on a surface of the base substrate and a ;lid mounting region.
An optoelectronic device is mounted on the optoelectronic device mounting region.
A
lid is mounted on the lid mounting region to form an enclosed volume between the base substrate and the lid. The optoelectronic device is in the enclosed volume. The lid has an optically transmissive region suitable for transrriitting light of a given wavelength along an optical path to or from the optoelectronic device, wherein at _._.... _._,..._ ~.." o._m... . ._..... ...._ _...._._ .."_ .".~m.... ... _ ... t __..._.

least a portion of the lid mounting region is disposed along the optical path below the surface of the base substrate to a depth below the optical path.
[0008] According to a further aspect of the invention, a wafer- or grid-level optoelectronic device package lid is provided. The lid includes a silicon wafer or grid having a plurality of die, wherein each die has a plurality of sidewalk and a roof connected to the sidewalk to form a cavity. One or more sidewall has an optically transmissive region suitable for transmitting light of a given wavelength along an optical axis through the sidewall.
[0009) According to a further aspect of the invention, a method of forming an optoelectronic device lid on a wafer or grid level is provided. The method involves:
providing a silicon wafer or grid having a plurality of die; and etching the wafer or grid to produce a plurality of lid structures each having a pharality of sidewalls and a roof connected to the sidewalk to form a cavity. One or more sidewall of each lid structure has an optically transmissive region suitable for transmitting light of a given wavelength along an optical axis through the sidewall.
[00010] According to a further aspect of the invention, a connectorized optoelectronic device is provided. The device includes a connector having an interior cavity and an optical port, and an optoelectronic device pacl~age disposed in the cavity in optical communication with the optical port.
[00011] The optoelectronic device package may inchade a base substrate having an optoelectronic device mounting region and a lid mounting region; an optoelectronic device mounted on the optoelectronic device mounting region;
and a lid mounted on the lid mounting region to form an enclosed volume between the base substrate and the lid. The lid has an optically transmissive region suitable for transmitting light of a given wavelength along an optical axis to or from the optoelectronic device.
[00012] According to a further aspect of the invention, a method of forming a sealed via structure is provided. The method includes: (a) providing a semiconductor substrate having a first surface and a second surface opposite the first surface;

DN 52122.A
(b) forming a layer on the first surface of the substrate; (c) etching a via hole through the substrate from the second surface to the layer, the via lxole having a first perimeter at the first surface; (d) forming an aperture in the layer, wherein the aperture has a second perimeter within the first perimeter; and (e) providing a conductive structure for sealing the via structure.
[00013] According to a further aspect of the Invention, a method of forming a sealed via structure is provided. The method includes: (a) providing a semiconductor substrate having a first surface and a second surface opposite the first surface;
(b) forming an insulating layer on the first surface of the substrate; (c) etching a via hole through the substrate from the second surface to the insulating Layer;
(d) forming an aperture in the layer; and (e) providing a metallization structure for sealing the via structure.
[00014] According to a further aspect of the invention, a sealed via structure is provided. The via structure includes: a semiconductor substrate having a first surface and a second surface opposite the first surface; a layer on the first surface of the substrate; a via hole through the substrate from the second surface to the layer, the via hole having a first perimeter in the first surface; an aperture in the layer, wherein the aperture has a second perimeter within the f rst perimeter; and a metallization structure sealing the via structure.
[00015] According to a further aspect of the invention, a sealed via structure is provided. The sealed via structure includes: a semiconductor substrate having a first surface and a second surface opposite the first surface; an insulating layer on the first surface of the substrate; a via hole through the substrate from the second surface to the layer; an aperture in the insulating layer; and a metallization structure sealing the via structure.
[0001 b] According to a further aspect of the invention, a method of detecting leakage in a sealed device package is provided. The method involves: (a) providing a sealed package containing a device, wherein the package is sealed under conditions providing a measurable deflection in a wall of the package, and wherein the extent of deflection is dependent on the pressure inside the package; and (b) measuring the deflection of the wall after sealing the package.
[00017] According to a further aspect of the invention, a sealed device package is provided. The package includes: a substrate; a lid includling a semiconductor material over the substrate; a sealed volume between the substrate and the lid; and a device in the sealed volume. The sealed volume is at a pressure such that a wall of the lid has a measurable deflection, and wherein the extent of deflection is dependent on the sealed volume pressure.
[00018] According to a further aspect of the invention, a device package is provided. The device package includes a substrate having a first surface; a device mounted on the first surface of the substrate; a cavity in the substrate; and a cooling structure in the cavity for removing heat generated by the device.
[00019] According to a further aspect of the invention, a device package is provided. 'The device package includes: a substrate including a lid mounting region and a device mounting region; a lid on the lid mounting region to form an enclosed volume between the substrate and the lid; a device on the device mounting region in the enclosed volume; and a cooling structure in the cavity.
[00020] According to a fizrther aspect of the invention, a method of bonding a first component to a second component is provided. The method involves:
(a) providing a plurality of layers between the first and second components, the plurality of layers including a first constituent layer, a second constituent layer of a different composition from the first constituent layer, and a diffusion barrier layer, wherein the diffusion barrier layer is disposed between the j~rst and second constituent layers and the second constituent layer is disposed between the first component and the diffusion barrier layer; (b) applying a pressure to the first component to form a bond between the first component and the second constituent layer; and (c) heating the bonded structure to a temperature and for a time effective to cause inter-diffusion of atoms between the first and second constituent layers. The resulting structure has an overall melting point greater than 'the heating temperature.

. .. _ ... . ...__._.. m... .._,m~~~:~,~~..~~~ . .._.~.~.._..~.......,.-.3~_~.w.,.~...u _. ... ... _._. _ ..__ __._.._ Brief Description of the Drawings [00021 ] The foregoing summary and the following detailed description of the exemplary embodiments of the present invention will be best understood when read in conjunction with the appended drawings, in which:
[00022] FIGS. lA-1C schematically illustrate perspective views of an optical microbench in accordance with the present invention at variaus stages of fabrication;
[00023] FIGS. 2A and 2B schematically illustrate side cross-sectional views of the microbenches of FIGS. lA and IC, respectively, taken along the respective sectioning lines 2A and 2B;
[00024] FIGS. 3A and 3B schematically illustrate side cross-sectional views of additional configurations of microbenches in accordance with present invention having a different arrangement of recessed areas than those; illustrated in FIGS. lA-C;
[00025] FIG. 4A schematically illustrates a top view of a base wafer comprising a plurality of optical microbenahes in accordance with the present invention;
[0026] FIG. 4B schematically illustrates a top view (looking into lid cavities) of a wafer comprising a plurality of lids in accordance with the present invention;
[0027] FIG. 4C schematically illustrates a side cross-sectional view of the lid wafer of FIG. 4B sealed onto the base wafer of FIG. 4A with the cross-section taken along one of the rows of microbenches;
[0028] FIG. 4D-F schematically illustrate top and side cross-sectional views, respectively, of the assembled lid wafer and base wafer of FIG. 4C after the lids have been singulated from the lid wafer;
[0029] FIG. SB schematically illustrates a perspective view of a micro optical device package in accordance with the present invention which is assembled from the components illustrated in FIG. SA;

~.. ~.r ..~7 _:...~~,..:,r=»~.~r.,.H ___ . ._ . . .-..._ _......- _,_...- . .
_..,. , _ w v ~.,...-[0030] FIG. 6A schematically illustrates a side cross-sectional view taken along the optical axis of the micro-optical device package of FIG. SA,;
[0031] FIG. 6B schematically illustrates aside cross-sectional view taken along the optical axis of a micro-optical device package similar to that shown in FIG.
6A, but having a single lens that focuses the light emitting region of a laser onto the endface of a fiber;
[0032] FIGS. 7A-7C schematically illustrate side cross-sectional views of micro-optical device packages in accordance with the present invention having various cooling structures;
[0033] FIGS. 8A and 8B schematically illustrate side cross-sectional views of further a micro-optical device packages in accordance with the present invention;
[0034] FIGS. 9A and 9B schematically illustrate a side cross-sectional view and a top view, respectively, of a micro-optical device package in accordance with the present invention having hermetic vies that extend from the interioa~ of the hermetically sealed cavity to the exterior the package;
[0035] FIGS. l0A and lOB schematically illustrate a side cross-sectional view and a perspective view, respectively, of a connector in which a micro-optical device package in accordance with flee present invention is housed:;
[0036] FIGS. 11A-11C schematically illustrate perspective views of connectors for housing a micro-optical device package of the present invention along with heat sinks for cooling the micro-optical device package;
[0037] FIGS. 12A and 12B illustrate a flowchart representing a process in accordance with the present invention for fabricating an optical microb<,nch having conductive lead lines;
[0038] FIGS. 13A-13C illustrate a flowchart representing a process in accordance with the present invention for fabricating an optical microbeneh having conductive hermetically sealed vies;
[0039] Figures 14A-14G schematically illustrate a process in accordance with the present invention for fabricating conductive hermetically sealed vies;
_7_ [0040] FIGS. 15A-15H schematically illustrate another process in accordance with the present invention for fabricating conductive hermeticall'~,y sealed vias [0041] FIGS. 16A-16D schematically illustrate top views of a process in accordance with the present invention for fabricating cavitated lids on <~ tid wafer;
[0042] FIGS. 17A and 17B schematically illustrate a top view and a side elevational view, respectively, of another process in accordance with the present invention for fabricating cavitated lids on a lid wafer; and [0043] FIGS. 18A-18D schematically illustrate a lid having a glassy sidewall portion.
Detailed Description of the Invention [0044] Referring now to the figures, wherein like elements are numbered alike throughout, a micro-optical device package 50U in accordance with the present invention is provided. The micro-optical device package 5170 has a configuration that facilitates hermetic sealing of a lid 200 to an optical microb~ench 100 by obviating the need for an optical passthrough opening in the lid 200. The lid 200 comprises a sidewall 220 that is sufficiently optically transparent to wavelengths of light to be received by or transmitted from an optoelectronic device 12, 18 disposed under the lid. As used herein, the term "'light" is not limited to the vi Bible spectrum, but includes electromagnetic radiation outside of the visible spectrum.
[0045] To further permit the unobstructed propagation of light through the sidewall 220 and through the remainder of the optical system, a sealing surface 226 of the lid 200 may be recessed into the optical microbench 100 so that the clear aperture of the optical system is not clipped by any surfaces of the optical microbench 100.
In this regard, recessed clearance surfaces 50, 52, 54, can be provided. The use of such clearance surfaces 50, 52, 54 and the recessed iid mounting is useful for many micro-optical systems. In this respect, light generating sources, such as a laser die which may function as the optoelectronic device 12; are usually bonded active stripe side down onto the microbench 100 to allow the active stripe to be accurately located with respect to features on the microbench 100. Such placement leads to the optical axis _g_ being disposed close to the upper surface 70 of the microbe;nch 100. Without recessing the lid 200, the optical beam may interfere with the lid bond line and the microbench 100. By recessing the lid (or lid wafer), into the microbench 100 (or microbench wafer) the full aperture of a beam can pass through the lid sidewall 220 and the remainder of the optical system on microbench 100 without unwanted loss of light due to occlusion of the beam. For example, up to half of the light generated by a light source may be lost without the recessed lid 200. In certain applications, however, it may be acceptable to mount the lid 200 to a non-recessed portion of the microbench 100.
A. Microbench 1. Layout of Micromachined Microbench Features [0046] Referring now to FIGS. lA-1 C, 2A, and 2B, an exemplary optical rnicrobench 100 for use as a base of a micro-optical device package 500 in accordance with the present invention is illustrated. While the microbench 100 is illustrated in FIGS. lA-1C as a discrete component, the microbench 100 may be a portion of a base wafer (a grid) 110 or a. complete base wafer 110 on which multiple optical microbenches 100 may be provided, as illustrated in FIG. 4.~1. The microbench substrate material is chosen with regard to the features to be fabricated in the microbench 100. For example, the microbench material may comprise thermally conductive micro-molded plastics and slip-cast ceramics which may be replicated from a master. micromachined wafer. One particularly well suited material for the microbench 100 is single crystal silicon, which may be etched by an anisotropic process using established photolithographic techniques to provide for accurate orientation of components on the microbench 100. For purposes of illustration, and not limitation, the microbench 100 is described below as comprising a single crystalline material that can be anisotropically etched, though it is understood that other materials and processes capable of achieving the structure of the optical microbench 100 are contemplated by the present invention.

[004?] Turning now to FIGS. lA and 2A, an exemplary microbench 100 is shown that includes an upper surface 70 into which one or more mounting features may be formed. The general layout of the mounting features that are to hold optical elements typically involves an optical model of the interaction of those optical elements. For example, first and second pits 20, 30, monitor groove 11, fiber groove 40, and front and rear lid mounting channels 62, 64 may be provided in the upper surface 70.
As described more fully below, these features may be anisotropically etched into a (100) silicon substrate. To provide precision alignment among pits 20, 30, grooves 11, 40, and mounting channels 62, 64, such features may be formed during the same masking and etching process. The resulting etched structures each comprise inclined sidewalk that are { 111 ] crystallographic planes. An un-etched portion of the upper surface 70 provides a device mounting region 10 onto which one or more optoelectronic, electronic, mechanical (e.g., MEMS) or optomechanical devices may be mounted, bonded, and electrically interconnected.
[0048] For example, in the case where the transparent lid 200 is placed in the optical path, as well as an optional optical isolator, two lenses 22, 32 may be used, the first lens 22 to semi-collimate the beam, allowing the beam to pass through the transparent lid 200 and the optional isolator, and the second lens 32 to couple the light into the optical fiber 42, as seen in FIGS. SA, SB, and 6A. While the device is being described such that the optical path is in a direction away from the optoelectronic device 12, it should be clear that the optical path may be in the reverse direction where the optoelectronic device receives the light. The spacing of the optical elements, and the corresponding mounting features used for their mechanical alignment should account for any encapsulants, solder pads, or other features that may ultimately affect their bonded locations or their optical performance.
[0049] The mounting features are typically made as small as possible to minimize the etch depth into the silicon, allowing tighter tolerances to be held. In one exemplary case, for a Fabrey-Perot (FP) or distributed feedback (DFB) laser die 12 mounted active region down onto the mounting region 10, the optical axis may be several microns above the upper surface '70 of the optical microbenc;h 100. In this case the mounting element layout may be designed to place the centers of ball lenses 22, 32 (e.g., 500 microns diameter Spinet with Grade 10 or tighter tolerances) and the center of an optical fiber 42 at the height of the laser die I2 active region, as shown in FIGS. 6A and 6B. The ball lenses 22, 32, as well as other optical surfaces in the system, may be coated with an antireflection coating. For example, a silicon oxynitride 1/4 wave layer deposited through LPCVD may provide a suitable conformal coating. The antireflection coating may be made, for example, using NZO, NH3 and SiC12H2, with the gas flows and pressure adjusted to achieve the desired coating thickness and index uniformity.
[0050] As optoelectronic devices tend to generate heat, it may in some cases be desirable to provide a cooling structure allowing for the removal of heat from the hermetic cavity. FIGS. 7A-C illustrate exemplary cooling ..<;tructures which may be used. FIG. 7A, for example, illustrates a cooling cavity 74 in the microbench below.the location of the laser die 12. The cooling cavity may be formed, for example, by etching the base wafer using wet or dry etching (e.g. FtIE
etching) methods, typically to a depth of between 50 and 90 % of the base wafer thickness.
Provision in the cavity of a cooling structure 76 such as a rr~iniature thermoelectric cooler (TEC or Pettier effect cooler) or a metal stud which may be connected to a TEC cooler can be made, allowing efficient, somewhat selective cooling (or temperature control) for the laser die region. This may be done both to reduce the power required for cooling and to control condensation. As. shown, a heat sink may be attached the base substrate to aid in heat transfer. FIGS. 7B and 7C
illustrate further cooling configurations, in which cooling structures may be created within the hermetic cavity 230 directly on an upper or lower surface 70, 72 of the base wafer 110 (FIG. 7B) or in or on lid 200 (FIG. 7C) using microfabrication techniques.
For improved thermal contact between the cooling structure 76 and optoelectronic device 12, a thermally conductive material 7$, such as thermally conductive grease, may be employed.
[0051] With reference again to FIG. 1C, two longitudinal lid mounting channels 66, 68 may be provided at the periphery of the optical microbench 100 and extend along -ll-the length of the optical microbench 100. The longitudinal lid mounting channels 66, 68 intersect the front and rear lid mounting channels 62, 64 provide a lid mounting region 60 having the shape of a moat. Thus, the lid mounting region 60 may comprise a recessed perimeter that surrounds the device; mounting region 10, the first pit 20, and the first recessed clearance surface ~0 into which the lid 200 may be seated. As illustrated, the moat may be rectangularly-shaped. The depth of the longitudinal channels 66, 68 may be the same as the depth of the lid mounting channels 62, 64. If sub-surface sealing of the lid 200 is desired, the moat may be recessed into the optical microbench 100 below the upper surface 70 to a depth below the optical path of the micro optical system.
2. Microbench Conductive pathway [0052] To provide electrical connection to the optoelectronic devices 12, 18, electrical connections in the form of electrically conductive lead lines 14 may be patterned onto selected regions of the upper surface 70 of the microbench 100, as illustrated in FIG. 1B. The electrically conductive lead lines 14 may be oriented to permit electrical communication between the device mounting region 10 and a region of the microbench 100 exterior to the hermetically sealed lid 200 that covers and encloses the device mounting region 10, as illustrated in. FIGS. SA and SB.
For example, since a portion of the lid 200 seats in the rear lid mounting channel 64, the electrically conductive lead lines 14 may extend along the surfaces 61,63 of the rear lid mounting channel 64. Thus, electrical signals may be transmitted along the electrical ly conductive lead lines 14 under an edge of the lid 200 seated in the rear mounting channel 64. At selected locations along the electrically conductive leads 14, solder pads 16 may be provided such as at the terminal ends of the electrically conductive lead lines 14 in the device mounting region 10.
[0053] Alternatively or additionally, to provide electrical connection between devices 12, 18 in the device mounting region 10 and the exterior of hermetically sealed lid 200, one or more hermetically sealed conductive vies 90 may be provided, as shown, fox example, in FIGS. 9A and 9B. The conductive vies ~0 typically extend from the upper surface 70 of the device mounting region 10~ through the microbench 100 to provide electrical communication with the lower surface 72 of the rnicrobench 100. The conductive vies are beneficial in that electrically conductive lead lines 14 and sealing of the lid 200 over such lines is unnecessary. This is believed to result in improved electrical transmission characteristics, such as transmission speeds, when compared with lead lines that extend under the lid. Hermetically sealed conductive vies 90 may provide conductive pathways that are operable at high speeds, for example, from 10 to 60 GHz. In addition, use of conductive vies 90 allow for use of a metal solder, such as a Au-Sn eutectic (e.g., from 3 to 8 microns thick), to seal the lid 200 to the microbench 100 instead of a dielectric seal such as solder glass or a dielectric encapsulant layer which is typically used when sealing over the conductive lines 14. As a further benefit to the use of conductive vies, sloping sidewalk for the lead lines need not be employed in which case lid moats, if employed, can be simply created by dicing. The hermetically sealed conductive vial 90 may be provided by processes described herein below.
3. Microbench Optical Train [0054] Returning to FIGS. lA-1C, additional recessed features may be provided in the optical microbench 100 to provide clearance, if necessary, for passage of an optical beam through the optical system installed on the microbench 100. The recessed clearance surfaces 50, 52, 54 are provided at locations along the optical path to permit the optical beam to freely pass through the regions of the microbench 100 at the clearance surfaces 50, 52, 54 without the beam striking the optical rnicrobench 100 in those regions. For example, as illustrated in FIG. 1C a first recessed clearance surface 50 is provided adjacent the first pit 20, a second recessed clearance surface 52 is provided adjacent the second pit 30, and a third recessed clearance surface 54 is provided between the second pit 30 and the fiber groove 40. The recessed clearance surfaces have a depth determined, at minimum, by the depth required to clear the optical beam, and at maximum, by the depth of the contact :points between the etched features and the bonded optical elements within the etched :features.

[0055] Referring now to FIGS. 4A-4E, SA and SB, the addition of optical and sealing elements to the optical microbench 100 is illustrated, wherein the fnal assembled micro-optical device package 500 is illustrated. The assembled micro-optical device package 500 comprises optical elements and optoelectronic devices mounted on the optical rnicrobench 100 at the mounting features, for example, at first and second pits 20,30. As used herein, the term ''optoelectronic devices" includes active devices that emit, detect, or otherwise alter an optical beam, including, for example optical sources, optical detectors, and MEMS devices. The term "optical elements"
includes optoelectronic devices as well as passive optical elements, such as lenses, gratings, prisms, filters, and so forth.
[0056] Referring to FIG. SA, an exploded view of an exemplary micro-optical device package 500 in accordance with the present invention is Shawn. The micro-optical device package 500 includes the optical microbench 100 and lid 200. An optoelectronic device 12, such as a semiconductor laser die, is provided on the device mounting region 10 in electrical communication with the solder pads 16. In addition, an optional back facet monitoring photodiode I 8 is provided on the device mounting region to monitor the laser die 12. Like the laser die 12, the monitoring photodiode 18 is mounted in electrical contact with respective solder pads to permit electrical communication with respective conductive lead lines 14. Additional solder pads may be disposed on the surface for providing mechanical bonding and may be of the same or a different composition than those used for electrical connections. Various compositions may be used to make a compatible bonding hierarchy. These compositions may be split between the parts to be bonded a:nd the microbench surface so that the solder alloy does not form or does not form completely until both parts are in contact with each ather. This allows more freedom in the bonding hierarchy and can yield several melting and bonding temperatures for compositional variations around a given eutectic.
[0057] To permit passive, vision alignment of active devices, such as laser die 12, on the microbench, the active devices of the present invention may incorporate suitable fiducials. The fiducials may be defined at the same time as the active region of the laser die I2 to avoid lithographic offset, which in turn minimizes the bonding error.
To aid in post bond inspection, the side of the die 12 directly opposite the die fiducials can be provided with no metal. This allows IR passive inspection of the alignment by viewing the alignment of the silicon bench fiducials relative to the laser die fiducials when viewing both through the microbench 100 and the laser material.
The passive inspection methods, which typically use an intensity per quadrant based "weighted average" to determine the post bond accuracy, may be used on some precision bonders to improve their bonding accuracy. As well, they can provide an indication of the coupling efficiency that will be achieved before the laser die 12 is powered.
[0058] One can optionally create a DFB style die or an FP die with a grating yielding spectral linewidth of, for example, about lnm. This value is significantly wider than that used on typical DFB lasers, where the design allows for several (e.g., frorn 2 to 6) modes to be present but with a narrower linewidth than available in an FP
alone.
This method can extend the reach of the FP die and can allow the DFB style die to be used without isolators. This can be achieved by producing less spectral width than would normally be present in an equivalent FP laser as well. as helping to reduce the thermal drift of the center wavelength by making it dependent on the dn/dt of the grating rather than simply the dn/dt of the gain curve. Finally, the benefits of using a "several mode" die over a single mode DFB include the removability of an isolator from the system for some extended reach (e.g., 1 to 20 kM applications). In this regard, a back reflection will not interfere all the modes to the same degree as it would in a single spectral mode laser (DFB). This allows the less expensive FP
style die to be used for longer link lengths in uncooled operation which is desirable from a yield and cost perspective against the DFB and isolator.
[0059] If the optional back facet monitoring photodiode I 8 is used, it is desirable to provide an optional clearance groove I 1 disposed between semiconductor laser die l2 and monitoring photodiode 18. The clearance groove 1 I provides clearance for light emitted by the laser die 12 to propagate downward into the clearance groove 11 before being reflected upward and reaching the photodiode 18. The clearance groove -IS-11 may include an inclined endface i 3 for receiving light emitted from the laser die 12 and reflecting such received light upward away from the; optical microbench and into the photodiode 18. The location of the monitor ph.otodiode 18 is chosen so as to receive the thus deflected optical beam. The location of the monitor photodiode 18 may be adjusted away from the point of maximum coupling with the laser back facet if there is more light than desired to ensure the full scale of light is within the dynamic range of the monitor photodiode 18. The endface 13 may, for example, be metallized or otherwise coated to enhance its reflectivity. 7~he optional clearance groove 11 may be formed during an anisotropic etching step for providing the first and second pits 20, 30. In such a case, the angled endface R 3 may comprise a ( 1 l 1 }
plane in anisotropically etched {100) single crystal silicon.
[0060] The laser die 12 typically emits a generally conical-shaped beam of light 80 having upper and lower marginal rays that lie within a plane perpendicular to the upper surface 70 of the microbench 100 and containing the optical axis. An optical element, such as first ball lens 22, may be seated in the first pit 20 for receiving the cone of light 80 emitted by the laser die 12, as shown in FI(~. 6A.
Optionally, the first pit 20 may be larger than required to permit the first lens 2f, to seat therein. For example, the first pit 20 may be longer along the direction of the optical axis so that the first lens 22 seats in the first pit 20 by contacting only three of the four sidewalk for the pit 20. In a similar manner the second pit 30 may be; larger than the second lens 32.
[0061] The first ball lens 22 may be adhered to the pit 20 using a suitable adhesive or bonding agent, such as a solderglass or a solder that will wet the lens. Such solders may be deposited directly into the pit 20 by evaporation or sputtering through a shadow mask, or may be deposited by using solder balls, among other methods.
To prevent obscuration of the lower marginal ray emitted from the laser die 12, the light emitting edge of the laser die 12 may desirably be placed adjacent to the pit 20, so that the lower marginal ray propagates downward into the pit 20 to intercept the first lens 22 without striking any portion of the optical microbench 100.
Alternatively, the laser die 12 may be spaced apart from the ball Lens 22 and a recessed clearance surface 56 may be provided to permit the lower marginal ray to reach the ball lens 22 unobstructed, as illustrated in FIG. 9A. The optical properi:ies of the first lens 22 may be desirably selected with the focal point of the lens located at the light emitting region of the laser die 12, so that the first lens 22 collimates the cone of light 80 received from the laser die 12 to a desired degree, as shown in FIG. 6A.
Alternatively, the optical properties of the first lens 22 may be selected to provide a converging beam that propagates along the optical axis towards the fiber groove 40, as shown in FIG. 6B.
4. Hermetically Sealed Cavity [0062] The micro-optical device package 500 also includes a lid 200 for seating on the microbench 100 to a lid mounting region, for example, in the lid mounting channels 62, 64, 66, 68 if present, to provide a sealed enclosure about the laser die 12, first lens 22, photodiode 18, and first recessed clearance surface 50. The lid 200 may, for example, include four sidewalk 220 and a roof 250 to provide a rectangularly shaped cavity 230 within the lid 200. In other exemplary embodiments, the lid 200 rnay comprise a single arcuate sidewall or may include a lid sidewall having a lenticular shape to provide optical power. The roof 250 of the lid typically has a thickness ranging from 10 to 100 microns. rfhe ratio of the thickness of the roof 250 to the longest dimension (span) of the cavity 230 is typically 1/10 to 1/50. Such a ratio permits the roof 250 to bend sufficiently in response to a change or loss in henneticity. Such bending rnay be measured to provide an indication of hermeticity of the package. For example, for a lid 200 having a cavity span of 1 mm, a 40 micron lid thickness can generally provide adequate deflection and durability.
The lid cavity depth is typically determined by the depth of the recessed trench (if present) and the height of components it encases. A typical lid depth is, for example, from 100 to 600 microns.
[0063] The inclined sidewalk 65, 63 of the front and rear lid mounting channels 62, 64 may assist in guiding the respective sidewalk 220 of the lid 200 to seat at a desired location within the iid mounting channels 62, 64. The depth of the lid -1~-mounting channels 62, 64, 66, 68, as well as the width of the front and rear lid mounting channels 62, 64, may desirably be selected to permit a lower sealing surface 226 of the lid 200 to be attached to the optical microbench 100 at a sufficient depth so that sealing surface 226 lies outside of the optical path of the optical system.
Thus, the width of the front and rear lid mounting channels 62, 64 may be equal to, larger than, or less than the width of the sidewalk 220 seated therein, so long as the lower sealing surface 226 lies outside of the optical path. h~ addition, the lid 200 may be sealed to the optical microbench 100 so that the lid sidewall 221 that intersects the optical axis is disposed slightly off perpendicular with respect to the optical axis by an amount sufficient to prevent back reflections into the laser die 12, for example, by one or two degrees. Back reflections allowed into the laser die 12 might detrimentally interfere with the optical performance of some devices, such as DFB lasers.
[0064] The lid 200 is typically configured so that at least a portion of sidewall 221 that lies within the optical path is optically transparent to the wavelength of light transmitted by the laser die 12. This permits an optical signal to travel between the laser die 12 and the exterior of the lid 200 with minimal loss. In some instances, it may be desirable that the sidewall portion comprises an optical waveguide. The transparent sidewall portion should have physical characteristics compatible with transmitting a beam of light therethrough. For example, the thickness, parallelism, and smoothness of the sidewall surfaces through which the beam passes should provide acceptable optical performance and should not unacceptably degrade the beam. As an example, the lid sidewalk 220 may comprise silicon and may have a thickness of 10-100 microns. To minimize the sensitivity of the optical beam to variations in thickness or surface roughness, it may be desirable to pass a nearly collimated beam through the sidewall portion of the lid 200. For this reason, it may be desirable to provide the above-mentioned collimating function of the first lens 22.
In addition, the sidewall portion may be coated with an antireflection coating, such as silicon nitride, that is 1/4 wavelength thick to reduce reflection.

[0065] The lid 200 can be hermetically sealed to the lid mounting region bf the microbench 100 by any suitable bonding material 240 such as a solder glass or metal solder. Conveniently, the bonding material 240 may be applied first to the lid sealing surface 226. Alternatively, the lid 200 may be hermetically sealed directly to the microbench 100 directly using welding or other technologies. Suitable bonding materials include, for example, solder glasses such as those containing one or more of SnF2, SnO, P02,5, PbF2, Nb02.5, ZnF2, and BaF2, and those available from Diemat, Inc., Byfield, MA, USA, such as DM2700PF solder glass, and metal solders, for example, eutectic or near eutectic Au-Sn on an underlayer, for example, Cr/Ni.
[0066] If conductive lead lines 14 are provided along the ul>per surface of the microbench 100, a conductive bonding material 240 such as metal solder should not be allowed to electrically communicate with the lead lines 14. In this instance, a dielectric may be placed between the conductive lead lines 14 and conductive bonding material. Such a configuration, however, might result in degradation in the speed at which signals can travel through the conductive lead lines 14 due to capacitive effects. Accordingly, a dielectric bonding material such as epoxy or glass solder may be used for hermetically sealing the lid 200 over the lead lines 14.
However, even glass solder may be unsuitable for high RF transmission applications, in which case hermetically sealed conductive vias 90 may be preferable. To minimize the effect of the seal on the conductive lead lines 14, at least: the lid sidewall 220 portion disposed over the lead lines 14 may be made thin.
[0067] A second optical element, such as ball lens 32, may be provided in the second pit 30 for receiving the collimated beam from the first lens 22 which is passed through the sidewall 221 of the lid 200, as shown in FIGS. S~B and 6A. The second ball lens 32 may be adhered to the pit 30 using a suitable adhesive or bonding agent.
After separation, the optical fiber 42 may be seated in the fiber groove 40 and adhered with a suitable adhesive or bonding agent. The fiber groove 40 has a depth sufficient to permit the optical fiber segment 42 to lie along the optical axis. The optical fiber 42 may be disposed in a ceramic ferrule 44 that extends beyond the length of the optical microbench 100 to provide mechanical support of the fiber 42 . . _...~ .~,- ~< . ~~~~.~~ ~ ~ :~ F........_ _...._.,. .~ .. ~ ~., u,....~ ~
~. . _._ _ _ _ .._-_ _ .......

and to assist in coupling the optical fiber 42 to other optical components.
Alternatively, a ceramic split sleeve or plastic receptacle may be bonded in place of a fiber as part of a fiber optic receptacle design. This allows for accurate registration of an external fiber optic connector which can then be plugged into the receptacle to make reproducible optical coupling with the device package 500.
[0068] The optical properties of the second lens 32 may be beneficially selected with the focal point of the lens located at a proximate endface 43 of the fiber 42.
In this way, the second lens 32 focuses the collimated beam onto the fiber endface 43 to effectively couple the light emitted from the laser die 12 into the fiber 42.
To protect the optical system from effects of contaminants, such as dust, an encapsulant 34 may be provided over the fiber endface 43 and the second lens 32, and may also fill the void along the optical path between the second lens 32 and the proximate sidewall 221 of the lid 200, as shown in FIGS. SA and SB. The optical properties of the encapsulant 34 and the second lens 32 are selected so that the desired focusing of the beam onto the fiber endface 43 occurs. Commercially available materials may be used and include, for example, silicone encapsulants, index matching epoxies, SmartGeITM encapsulant available from Nye Optical Products, Inc., Fairhaven, MA, USA, and other encapsulants available from Dow Corning.
[0069] In addition to the exemplary micro-optical device packages 500 illustrated in FIGS. 1-6, other configurations of micro-optical device packages in accordance with the present invention are possible. For example, micro-optical device package may receive an optical beam through a first sidewall 220, have the beam optically altered by an optical element in the device package 500, and then have the altered beam transmitted out of the package 500 through one or more of the same sidewall 220, a different sidewall 200, or the lid roof 250. Further, a series of device packages 500 of the same or different configuration may be daisy-chained together to provide an optical train of device packages 500. Still further, with reference to FIGS. 8A and 8B, the micro-optical device packages 500 may be provided that do not include one or more optical fiber segment 42 and second ball lens 32. histead, the micro-optical device packages 500 may be configured for attachment to a fiber ferrule 2I Oa, 21 Ob that may optionally include the spherical lens 32 in the ferrule. Optionally, the gap 67 between the device package 500 may include a bonding material to fixedly mount the ferrule 210 to the device package 500. Further, an index matching material may be disposed in the gap 67.
B. Connectorization [0070) The micro-optical device package 500 may be used in a variety of optoelectronic system configurations, such as mounted to or on an electronic device substrate such as a printed wire board (PWB) or as part of a connectorized device.
For example, as illustrated in FIGS. l0A and lOB, a connector 520 may be provided for receiving a micro-optical device package 500 in accordance with the present invention to permit the micro-optical device package 500 to be optically connected to other system components. Owing to the small size achievable in micro-optical device packages ofthe present invention, the micro-optical device package 500 may be housed within an interior cavity 512 of the connector 520. Moreover, micro-optical device packages of the present invention are well-suited for inclusion in connectors that are presently commercially available. For example, the connector 520 may be an LC connector or other suitable connector. Hence, a connector comprising an optical mierobench of the present invention permits a fiber optic connector to function as an optical transmitter, receiver, or transceiver.
[0071 ] The micro-optical device package 500 may be mounted on a housing mount 506 using a suitable bonding material. The housing mount 506 may be designed to provide both mechanical support and heat dissipation. A ferrule housing 508 may be provided in the connector 520 for receiving and supporting the ferrule 44 containing the fiber segment 42 that is optically attached to micro-optical package device 500.
The ferrule housing 508 is attached to the housing mount 506 using a suitable bonding material. Alternatively, the housing mount 506 and the ferrule housing may be formed of a single monolithic part. Desirably, the ferrule housing 508 and housing mount 506 may comprise a metal. The ferrule 44 of the micro-optical device package 500 may conveniently have a length that permits the terminal end of the fiber ferrule 44 to coincide with the terminal end of the ferr~zle housing 508. The ferrule housing 508 may be swaged onto the fiber ferrule 44.
[0072] Electrical signals and power may be supplied to the micro-optical device package 500 by a flex circuit 510 which is electrically connected to the conductive lead lines and/or to the vies, depending on whether conductive lead lines or vies are used. The flex circuit 510 may be made, for example, of copper plated on polyimide such as that produced by 3M. The flex circuit 510 allows the device package 500 to be mechanically coupled to and decoupled from an electronic device substrate such as a PWB which may be desirable to prevent CTE differences from causing bonding failure. Flex circuit 510 can adjust for pitch difference between the PWB and the device package contact pads, may include solder on its ends, may include a terminating resistor along its length, and contains controlled impedance transmission lines to properly carry the RF signals between the PWB and the device package 500.
[0073] The ferrule housing 508 may be slidably mounted within the connector 520 to permit the ferrule housing 508 to piston within the connector 520. In this regard, the connector 520 may include a ferrule spring 502, such as a helical spring, disposed over a portion of the ferrule housing 508 and within the cormector cavity 5I2.
The front end 503 of the ferrule spring 502 rests against a shoulder 509 of the ferrule housing 508, and the rear end 505 of the ferrule spring 502 rests against a shoulder 507 of the connector cavity 512. Alternatively, micro-optical device package may be fixedly mounted within the connector 520 without the ability to piston.
[0074] To assist in cooling ofthe micro-optical device package 500, a connector 520 may be provided with a heat sink 540, 545, as illustrated in FIGS. t lA- 11C .
The connector 530, including its internal components, may be substantially similar to the connector 520. However, to accommodate the exemplary heat sink 540, 545, it may be desirable to modify the housing of the connector 520 to provide slotways 532 in the housing of the connector to permit the heat sink 540, 545 to extend beyond the confines of the cavity of the connector. As shown in FIG. 11B, the heat sink may include a passageway 543 having a cross-sectional shape similar to the cross-sectional shape of the proximal end 541 of the metal ferrule housing to permit the heat sink to be slidably mounted onto the proximal end 541. of the metal ferrule housing so that the heat sink is in thermal communication with the metal ferrule connector 508 which in turn is in thermal communication with the micro-optical device package 500. The heat sink 545 may be similarly connected to the metal ferrule housing 508. The heat sinks 540, 545 may desirably include a plurality of fins 542, 546 for assisting in the dissipation of heat from the heat sink. The heat sinks typically comprise a material having suff dent thermal conductivity such as a metal.
In addition the back of the connector housing 519 may be .made of a thermally conductive plastic to aid in heat transfer in and out of the connector or receptacle housing. Suitable plastics are commercially available, for example, Cool PoIyTM, available from Cool Polymers, of Warwick, RI, USA. Whc;n mounted to a PWB, a heat sink may also be provided at the PWB to dissipate heat from the device package 500.
C. Microbench Fabrication 1. Microbench with conductive lead lines [0075] Referring to FIGS. i2A and 128, a flowchart of an exemplary process for fabricating an optical microbench 100 having conductive lead lines I4 in accordance with the present invention is provided. While the flowchart and processes are described by way of example with regard to making a single optical microbench on a base wafer 110, it should be understood that in practice it is desirable to fabricate a plurality of optical microbenches I00 on a single base wafer I 10 or grid removed from a wafer.
[0076] Beginning at step S 10, a base wafer 110, such as a ( 100) double-sided polished silicon wafer is provided. Typically, the thickness of the base wafer 1 I O is 525 microns thick, and the resistivity may desirably be greater than 1000 ohm-cm for high frequency applications. The base wafer 110 may desirably be selected to have the lowest oxygen concentrations and defect densities that are practically available to minimize defects in the micromachining process.

[0077] A first hard mask is provided on the base wafer 110, at step 520. The hard mask maycomprise, for example, a silicon nitride layer such as a low stress silicon nitride layer. A suitable thickness of the first hard mask layer is, for example, 200 to 250 nm. Optionally, a crystal alignment step may be preformed to determine the precise axis of crystallographic alignment so that the features to be etched can be aligned to the crystal axis to the required degree of precision.
[0078] As provided at step 530, the base wafer 110 is patterned for metal lift-off.
Optionally, the patterning for metal lift-off may utilize a lift-off resist layer disposed under a thicker resist patterned so that the thin lift-off resist may be undercut during subsequent processing. An optional re-entrant resist profile may be use to achieve clean lift-off when patterning the metal.
[00791 The process continues at step S40 with the deposition of one or more metal layers onto areas of base wafer 110 exposed through the patterned hard mask.
This can be conducted by physical vapor deposition (PVD), for example, sputtering or evaporation, chemical vapor deposition (CVD), or other suitable processes. The metal layers may include, for example, one or more of an adhesion layer (such as Ti, TiW, TiN, Cr, NiCr, W, or other suitable material), a diffusion barrier layer (such as Ni, Pt, or other suitable material), and a surface metal (sucha as Au, AI or other suitable material). A typical thickness (when present) for an adhesion layer is 5 to 100 nm, for example 40 to 60 nm, such as 50 nm, for a diffusion barrier is 100 to 300 nm, for example, from 200 to 275 nm, such as 250 nm, for a surface metal is 200 nm to 1 micron, for example, from 500 nm to 1 micron. The metals should be chosen so that they are compatible with subsequent processing such as wet etching, soldering, and thermal processing. One may utilize, for example, a stacked layer of Ti/Pt/Au, TiW/Au, TiN/Au, Cr/Ni/Au, or NiCrINi/Au. It m.ay be useful to utilize a diffusion barrier or adhesion layer thickness that can also allow the conductive lead lines 14 and solder pads 16 to incorporate the functionality of both resistors and/or solder dams, as desired, whereby the surface metal may be stripped back selectively for sections of the conductive lead lines 14. Solder dams may be put directly outside of a solder pad to prevent solder from wicking along the conductive (surface metal) lead lines 14 during reflow. resistors are often desirable to allow transmission line terminating resistors to match the laser die 12 to the impedance required by the driver, e.g., 25 or 50 ohms. Gaps in the metallization and bonding of surface mount discrete elements can take the place of integrated elements when desired.
[0080 The patterned lift-off metal portions may be lifted off to create a first set of surface metallizations, e.g., the conductive lead lines 14 on upper surface 70 of the base wafer 110 and alignment fiducials, at step 550. Metal "rings" or traces of the shapes desired for subsequent silicon micromachining may also be provided for self alignment of the mounting features to be etched on the upper surface 70 of the base wafer 110 using another coating of resist and dry etch patterning through the hard mask (silicon nitride) as provided, for example, in co-pend:ing U.S. Patent Application 09/519, I65 entitled "Single Mask Lithographic Process for Patterning Multiple Types of Surface Features", filed March 6, 2000, the contents of which are incorporated herein by reference. Alternatively, the metallizations for the conductive lead lines 14 and for the metal rings may be performed as separate steps.
Additionally, the more conventional process of applying meta.llizations for the conductive lead lines 14 and the general resist patterning and dry etching of the hard mask may be utilized.
[0081] At step 550, the metal mask to define the mounting features, such as pits 20, 30 for lenses 22, 32, front and rear lid mounting channels 62, 64, monitor groove 1 l, fiber groove 40, and laser and back facet monitor alignmenvt fiducials, may also be patterned. The patterning process to make the micromachined features comprises creating metal rings, typically 5 to 20 microns, that circumscribe those areas to be micromachined. Thus the metallization for the transmission lines, metal alignment frducials and the areas to be micromachined can often be accomplished in one lithographic step. A second lithographic step, of less precision can then be performed that leaves openings in a resist layer over these metal rings. This allows the interior edge of the metal rings to act as a precision hard mask for a plasma etch step which will remove the silicon nitride or silicon oxide firom the ring interior.
After stripping the resist, the wafers can have their silicon anisotropically etched.

..,~.~..,. .., n ,ImAnH, ,:... ..~~w.m M.,. "....,.a...,..-"....."...,a.,uaryexrc»g,y~:~ylpkM':YYF?rfi~ ........".....,~ -.... . . ......

Variations on the masking materials may be used depending on the particular etch chemistry type of etch performed. The patterning process to make the micromachined features involves removing the nitride hard mask from the interiors of the metal rings. The interiors are those areas to be micromachined by etching, which may be performed by dry etching in a CF4 or other fluorine containing plasma.
Details of these techniques are provided in the above-referenced U.S. Patent Application 091519,165.
[0082] Optionally, if resistors or solder dams are desired, tlae surface metal layer may be selectively stripped using an additional lithography step and wet etching in a solution, for example, an iodine or potassium iodide solution. In some cases, where higher resistivity per area is desired, it may be desirable to strip back the diffusion barrier layer arid utilize the adhesion layer, such as Cr, NiCr, W, TiW, TiN
or Ti.
The resist may be, for example, a spin-coated or electrophoretic resist.
Electrophoretic resists are commercially available from Shipley Company, L.I,.C., Marlborough,. MA, USA. As an alternative to using a lift-off process, steps S40 to S60 could also be accomplished by first placing the metal down, applying resist, and wet etching or dry etching the metal away to create the patterns.
[0083] Solder pads 16 which may be formed from, for example, roughly 80-20 Au-Sn solder, or other suitable solder, may be deposited using the same lift-off process as disclosed above, at step S70. The solder pads 16 may be made slightly tin rich to allow longer reflow times. Typical thicknesses are, for example, from 2.5 to 3.5 microns. The solder pad composition is typically designed to account for the ultimate inclusion in the composition of the metal, e.g., gold, in the base metallization and on the laser and monitor dies 12, 18 that are to be bonded.
[0084'] To provide the mounting features, a micromachining step, such as an anisotropic wet etch may be conducted. Suitable etchants include, for example, ethylene diamine pyrocatechol (EDP), tetramethyl ammonium hydroxide (TMAH), hydrazine, or hydroxides of alkaline metals, for example, sodium hydroxide, potassium hydroxide, cesium hydroxide, or rubidium hydroxide, and the like.
The etchant will depend, for example, on the specific selectivity to crystal planes, the __._.~_r,.,~~~"a~~.....M.~~.~.~~".~.._._.._,_ ____._____.

choice of hard mask material, uniformity, surface roughness, protection of metals on the surface, and other designifabrication considerations.
[0085] In step 580, formation of the recessed clearance surfaces SU, 52, 54 by mechanical methods, such as sawing, may be performed. Sawing may be desirable over etching in certain instances. Etching may attack adjacent anisotropically etched mounting features, such as first pit 20, potentially altering the precisely located boundaries of the anisotropically etched mounting feature(:.). Such subsequent etching of the anisotropicaily etched mounting features may alter the relative location between optical opponents disposed in the anisotropically etched mounting features.
The use of mechanical methods prevents the need for compensation features to be designed to protect the exposed exterior corners of each etched mounting element, and still provides ~ 111 } sidewalls on the etched mounting features. The front and rear lid mounting channels 62, 64 may also be provided by dicing, as illustrated in FIG. 3B, instead of by etching. In this regard, the front and rear lid mounting channels 62, 64 may be made subsequent to the etching operation.
[0086] A passivation step, step 590, may be desired, for example, if electrical isolation is desired between conductive lead lines 14 and the resistivity of the base wafer 110 does not provide adequate electrical isolation. For example, a PECVD
silicon oxide, silicon nitride or silicon oxynitride may be deposited as a passivation coating in the rear mounting channel 64. The passivation coating typically requires another lithography step using, for example, spin coating or plated resist, followed by dry etching or wet etching, for example, a buffered oxide etch (BOE) to open the contact and solder pad surfaces. The thickness of this layer is typically from 50 to 250 nm or as desired to provide pin-hole free coverage.
[0087] To provide the conductive lead line portions that are disposed within the rear mounting channel 64, an additional series of metal patterning steps may be performed, which may be similar to steps S30-550. Beginning at step S 100, metal may be patterned for lift-off in the reax mounting channel 64 using conformal resist techniques. The same metallization structures discussed above rnay be utilized. To achieve proper metallization, the inclined surfaces, e.g., rear mounting channel surfaces 61, 63 should be located relative to the evaporant .angle such that both rear mounting channel surfaces 61, 63 receive adequate coverage. Alternatively, a shadow mask may be used to pattern the conductive lead lines 14 disposed on the rear mounting channel surfaces 61, 63. A conformal shadow mask made from another etched wafer using wet etching to conform to the rear mounting channel and dry etching to define conductive lead lines and electrical traces in the rear mounting channel 64 can be used.
[0088] Step S 100 may be preceded by a dry etch, for example, a reactive ion etch using fluorine at 50 to 250 mTorr. This allows for removal of a nitride shelf that may be present and extend from the upper surface 70 and overhang the rear lid mounting channel 64 without completely stripping the masking layer on the surface. Use of a relatively high pressure during etching allows the shelf to be attacked from both sides by active ions creating a higher net etch rate than occurs on the surface.
Removal of the shelf prevents shadowing effects .on the metal below the shelf, such as electrical discontinuity between the metal 1 traces on either side of the rear mounting channel 64. The size of the shelf is a function, for example, of the etch ratios between crystal planes and the type of etchant. In this regard, some etchants such as EDP may result in significantly larger shelves than other etchants. If a surface passivation is not used, or will be added in a subsequent step, such as when passivating the trench to feed conductors out as previously described, one may remove all the surface passivation not masked by metal or resist.
[0089] If it is desired to attach an optical component such as a lens or fiber using a solder or other metal bonding surface (such as Al0 bonding described by EP
089511 lAl and U.S. Patent No. 6,034,405), the solder may be provided using an etched metal shadow mask in contact with the base wafer I 10 at this time.
Transient liquid phase (TLP) and thermally-activated diffusion (TAD) solders may be particularly useful for this application purpose. If provision for fiber bonding by solder is planned, the solder may be provided in step S 100 or a subsequent step depending on the bonding hierarchy used. The bonding hierarchy is dependent, for example, on the complexity of the design {number of optical elements to be bonded using inorganic bonding, etc.). Typically, the solders may be from 3 to 5 microns thick. Uniformity and thickness control should be sufficiently tight to achieve the desired optical performance of the system, for example, when passive alignment is used among the optical elements from laser die 12 to the fiber 42.
[0090] Population of the optical microbench 100 with optical elements may be performed at step 5130. The order of optical element population may vary.
However, one typical method employed is to bond the first lens 22 first using a suitable bonding material, such as solder or solder glass. An entire wafer or a grid of parts removed from the wafer may be populated at the same time for maximum efficiency of the process. The laser die 12 may next be bonded.
[0091] Compression or thermocornpression bonding are suitable techniques for bonding of the optical elements, as reaction of solder layer;> can be prevented until the die bonding steps are complete. Reaction of the solder layers may result in a compositional shift preventing the solder from reflowing adequately for successful die bonding. The optical fiber segment 42, lenses 22, 32 and other optical elements can advantageously be secured in place on the substrate using thermally-activated diffusion (TAD) bonding techniques provided by the present invention. This technique typically makes use of an at least three layer bonding structure over the pit 20, 30, groove 11, 40, or other surface ofthe silicon substrate to which the optical fiber 42 or optical elements are to be fixed. The structure includes at least first and second constituent layers separated by at least one diffusion inhibitor/barrier layer.
[0092] The materials forming the first and second constituent layers differ compositionally, and are typically selected from metals and metal-alloys.
Material selection is based on the ability of atoms in the first and second constituent layers to inter-diffuse, the result of which is a composition having a melting point higher than that of the second constituent layer as originally deposited. Suitable materials for the first and second constituent layer include, for example, gold and indium, copper and indium, silver and indium, copper and tin, silver and tin, and bismuth and tin, gold and bismuth, respectively. In each case, the higher melting point metal is typically disposed below the lower melting point metal. Or, if a thin film solder stack of ..._ .. .__ _... ._. _ ~. ... n _.a ~~."~~~,« ,y . ~~_~~,~r~., _m. . __.__.. .
. ._ q ~.~.~ _ .. _ _. _._.. ~.. ...
,..._ composition 1 is on top of a thin film solder stack of composition 2, then composition 1 would have the higher melting point. In addition to binary systems, ternary, quaternary and higher order systems can be employed. The multicomponent compositions can be modified to achieve known effects. The thicknesses for the first and second constituent layers are selected based, for example, on the desired melting point for making the bond, the subsequent higher temperature to which the bond should be stable after heat treatment and interdiffusion occurs. Typically, the layers have a combined thickness of 2 to i 0 microns. The constituent layers can be deposited using known techniques, for example, physical vapor deposition (PVD) such as evaporation or sputtering techniques, or chemical vapor deposition (CVD).
The specific technique employed will depend, for example, on the material and thickness to be deposited.
[0093) The one or more diffusion barner layer between the first and second constituent layers minimizes or prevents inter-diffusion of atoms between the constituent layers during fabrication until the substrate is subjected to a predetermined threshold temperature. When the substrate is subjected to a temperature at or above the threshold temperature, the diffusion barrier loses its effectiveness and allows significant inter-diffusion between the constituent layers, resulting in a composition having a melting point higher than the threshold temperature and the melting point of the second constituent layer. Suitable materials for the diffusion barrier layer include, for example, platinum, nickel, TiW, TiN, Ta, and the like.
[0094] The thickness of the diffusion barrier layer is at least that thickness effective to suppress inter-diffusion between the constituent layers for practical working times, for example, at least dozens of hours to indefinitely at room temperature and for minutes or hours at the temperature at which the substrate is to be held for bonding parts to it (or for bonding two parts together.) The time required depends, for example, on the number of parts to be bonded on the same substrate and the time required to bond the parts. The diffusion barrier layer thickness will depend, for example, on the diffusion barrier layer and constituent layer materials, and the thermal history to which the structure is subjected. Typically, it is desired to minimize the thickness of the diffusion barrier layer in order to minimize the concentration of the layer's constituents in the final structure after heat treatment.
The diffusion barrier layer may, however, be used to modify the characteristics of the final structure in a desired manner, for example, to harden or soften the final structure, to increase adhesion, to increase melting point, and the like. The diffusion barrier layer thickness is typically from 5 to 100 nm. As with the constituent Layers, the diffusion barrier Layer can be deposited by known techniques, for example, PVD
or CVD.
(0095] The TAD bonding layer structure can optionally include one or more additional layers, for example; a barrier layer for preventing diffusion between the substrate and the first constituent Layer andlor an adhesion layer under the first constituent layer for promoting good adhesion between the substrate and the layers thereon. For example, where the first constituent layer is a gold layer, a chrome barrier layer and a nickel adhesion layer can be provided on the silicon substrate. It may further be desirable to employ an oxidation-inhibiting layer over the second constituent layer, or any layers in contact with the atmosphere. For example, a thin layer of a noble metal such as gold or a thin polymer film may be useful when the primary layer would otherwise oxidize and it is not desired to use vacuum, reducing atmospheres, or fluxes. Other materials, for example, rare f:arth metals such as Lu, may optionally be added to help enhance the bonding effect between metals and oxides.
[0096] The bonding layer structure is typically formed layer-by-layer on the substrate surface. Alternatively, one or more layer of the bonding layer structure can be preformed and then bonded to the substrate.
(0097] A compression or thermo-compression bond may be. formed between the optical element and the second constituent layer. This can be accomplished, for example, by a thin layer of gold (e.g., O.I micron) over an indium layer, where the indium layer has other elements of the TAD system below it. A mechanical displacement of gold occurs by the compression or thennocompression bonding of a lens into a pit coated with such a system, followed by annealing to produce the desired reflow temperature increase for the TAD system. This process protects the indium surface from oxidation, and still allows the lens sur:l'ace to come into mechanical and chemical contact with the indium to create an oxide to metal bond.
Optionally, the compressian or thermo-compression bond can be formed in the presence of a surface oxide formed on the second constituent layer. The thin oxide may be broken during the bonding, thus exposing virgin metal in the second constituent layer that can create the Si-O-metal bond.
[0098] Without being bound to any particular theory, it is believed that this process mechanically deforms the surface of and disrupts the surface oxide present on the second constituent layer, thus forming a bond, between the optical fiber 42 or element and the second constituent layer. For example, in the case of a silicon oxide-coated lens and a structure formed from a gold (constituent layer 1) and indium (constituent layer 2) structure, an Si-O-In bond interface may be formed.
[0099] The structure is next heated to a temperature at which the diffusion barrier layer is no longer effective to prevent inter-diffusion of atoms between the first and second constituent layers. This temperature may be above or below the melting point of the constituent layers, but is typically below the melting point of each constituent layer to minimize or eliminate movement of the optical element. The temperature will depend, for example, on the constituent and diffusion barrier layer materials.
Typically, the heat treatment temperature can be any temperature below the reflow temperature, for example, from 25 to 100°G below the temperature used to make the bond. At this elevated temperature, and with sufficient time, the diffusion barrier layer breaks down, and atomic diffusion between the first and second constituent layers occurs. Depending, for example, on the temperature and time of the heat treatment, and the thickness and composition of the constituent layers and diffusion barrier layer, a homogenous composition, a mixed solution of various phases, or a graded composition for the bonding structure may result. The resulting structure has an overall melting point (the melting point within the structure may vary as a result of compositional non-uniformity) greater than both the heat treatment temperature and the melting point of the second constituent layer. As a result, the optical element may be securely bonded in place and can be subjected to further heat treatment processing even at temperatures higher than those used in bonding the element.
[00100] Through this technique, numerous optical fibers, lenses 22, 32 and other optical elements can be accurately bonded and locked into place on the substrate surface with a great deal of flexibility in the bonding hierarchy. Due to the relatively low temperatures used in bonding the optical elements, standard solders such as Au-Sn eutectics can be used without the adverse effects caused by higher temperature processing. Because of the high-temperature stability of the bonded optical elements, these standard solders can be used far subsequent bonding of higher costing devices such as laser die to the substrate surface.
[00101] Thin film Au-Sn eutectic and near eutectic solders have a relatively short working life (e.g., 10 to 45 seconds) just above their melting points.
Therefore, it is desirable to keep the base wafer 110 temperature below its melting point and as low as possible when attaching the laser die to the substrate. This can be accomplished by a combination of pressure and pulsing heat through the laser die 12 to tack each die in place. The same may be done for the back facet monitor photodiode 18 if it does not have solder deposited thereon. After all optical elements are bonded, the base wafer 110 may be heated, allowing the solder to solid state interdiffuse, raising its effective melting point to a sufficiently high temperature that it will prevent any significant die motion (caused by solder creep, relaxation, surface tension reshaping, ete) during any subsequent thermal processing (lid bonding, flex attach, baard attach, etc). The laser die 12 can be bonded, for example, with a high precision die bonding equipment commercially available from companies such as Karl Suss of Germany or Toray of Japan. Such equipment can be fitted with infra-red vision post inspection allowing the machine to image through laser die 12 and/or the base wafer 110 to view the fiducials of both the laser die I2 and the optical microbench 100 to determine die alignment non-destructively, and use this information to ensure high accuracy bonding. Due to the desire to bond die using high precision bonding equipment without the benefit of active feedback of the optical axis alignment of the laser die 12, it is desired that the microbench parts and the laser die 12 have no metal obstructing vision through the parts of the fiducials and their respective alignment for non-destructive alignment inspection using IR cameras. In addition, laser die 12 are best constructed with the active region and alignment fiducials, if present, defined in the same lithographic step. This allows for accurate alignment of the laser, waveguide and microbench.
[00102] Once the laser die 12, back facet monitor photodiode 18, first lens 22, and any other optical , electrical , or mechanical elements to be housed within the lid 200 (e.g., wavelength locker, or wavelength selector, isolator if it is desired on the interior, etc) are bonded in place, the lid bonding step, step S 140, may be performed, as detailed below. Alternatively, prior to bonding the lid 200 the remaining optical elements may be attached to the base wafer 110, at step S 160. .
[00103] Regarding the lid attachment, at step S 140, the lid bonding material 240, such as a solder glass, may be applied to the lid sealing surface 226 in paste form.
Alternatively, the solder glass may be applied, for example, by screening, pad printing, or dispensing operations. The bonding material 240 may be cured on the lid 200 to eliminate evolved gases that form during curing. Thc; lid wafer 210 may then be applied to the base wafer 110 (or lid wafer section applied to base wafer section), as shown in FIG. 4C. The lid wafer 210 and base wafer 110 may then be baked at a temperature, for example, above 100°C in partial vacuum, dry nitrogen or other dry inert gas, to dehydrate the wafers 110, 210. The wafers are then heated to the bonding temperature of the solder glass, and then pressure is applied between the lid wafer 210 and the base wafer 110 to make a hermetic seal between each lid 200 and the respective optical microbench 100. If desired, the lid wafer 210 and base wafer 110 may be sealed in a helium atmosphere at several atmospheres allowing the lid 200 to bulge and allowing for an integrated leak checking through inspecting the degree of bulge.
[00104] The lid wafer 210 may have a dielectric layer, such as a silicon-oxynitride layer disposed over the lid wafer 210, including the lid sidevralls 220 which can act as an antireflection coating. In addition, the lid wafer 210 may have a hard mask pre-. _ _ ._... _._.~., ~ ~x,~~~x,~ r~.ry ~~ ~~~. ,~ ._ ... .. _.._._. m... ~"-~~...~. a .. . ..~.. _ . __.. _.. ____ ...... __ patterned on the upper surface of the lid wafer 210. This allows the bonded assembly to be wet or dry etched at separation channels 228 to provide openings 232 through which electrical and/or optical probing may be performed o:n the micro-optical device packages from above the upper surface of the lid wafer 210 and prior to singulation of the micro-optical device packages, as shown in FIGS. 4B. and 4E.
Alternatively, one may partially dice through the upper surface to separate the lids 200 to provide openings 232 and probe metallizations on the upper base wafer surface 70. This allows laser die burn-in and high frequency testing to occur at the wafer level, at step 155.
[00105] For the case where the lids 200 have been sealed onto the base wafer before the second lenses 32 have been placed onto the respective microbenches 100, a portion of the beam may be deflected upwards through the etched or diced openings 232 in the lid wafer 210 by a respective inclined surface in the base wafer 110. The ability to test the optical microbenches 100 at the wafer level can be provided by a beam deflector, which directs an optical beam out of the plane of the microbench 100 for testing. Since the optical beam is directed upwardly away from the optical microbench 100, the optical microbench 100 may be tested while multiple such microbenches 100 are connected to one another in wafer form.
[00106 For an anisotropically etched (100) silicon base wafer 110, the inclined surface may comprise a { 111 } plane. The inclined surface may be an inclined sidewall 33 of the second lens pit 30 before the third recessed clearance surface 54 is added. The inclined sidewall 33 may be disposed along the optical axis and faces the direction of the laser die 12, as shown in FIGS. lA and 2A. Alternatively, the inclined surface may be an inclined sidewall 41 of the fiber groove 40, as shown in FIG. 2B. To enhance the reflectivity of the inclined surface, it may be metallized or otherwise treated. In either case, the diced or etched openings 232 in the lid wafer 210 may be located at a position to permit the light deflected from the inclined sidewall 33, 41 to be directed through the openings 232. For operations such as burn-in, the optical signals may be imaged and analyzed to allow many devices to be monitored at one time efficiently. These surfaces can be left intact if the package requires light to be emitted surface normal, and in these cases, (100) silicon cut off axis can provide a 45 degree reflecting surface, if desired.
[00107] The second ball lens 32, outside the lid 200, may be bonded using, for example, solder glass, epoxy, or other suitable materials and methods. The microbenches 100 may then be diced apart leaving an open fiber v-groove on one end ready to accept a fiber segment 42. Alternatively, the base wafer 110 may be diced proximate the second lens 32 and may be actively aligned to a ferrule or sleeve assembly, as shown in FIG. 8B.
2. Microbench with herrneticallv sealed conductive vias a. Anisotropic Etch [00108] Referring to FIGS. 13A-13C, a flowchart of an exemplary process for fabricating an optical microbench 100 having hermetically sealed conductive vial 90 in accordance with the present invention is provided. The process is illustrated in FIGS. 14A-14G. The process may be similar in several respects to the process for fabricating a micro-optical device package 500 having conductive lead lines 14, as shown in FIGS. 12A and 12B. Accordingly, where similar process steps are involved, the same reference numerals used in FIGS. 12A and 12B is also used in FIGS. 13A- 13C. However, while the same reference numerals may be used for particular steps, such steps need not be identically the same for both the via process and the lead line process, and several of the possible distinctions are noted in the text that follows. As with the lead line process, the via flowchart and processes are described by way of example with regard to making a single, optical microbench on a base wafer 110. However, it should be understood that in practice it is desirable to fabricate, assemble, and test a plurality of optical microbenches 100 on a single base wafer 110.
[00109] Turning now to FIG. I 3A, beginning at step S 10, a base wafer 110, such as a (100) double-sided polished silicon wafer is provided. The thickness of the base wafer 110 may conveniently be 525 ~ 25 microns thick, andL the resistivity is typically greater than 1000 ohm-cm for high frequency applications, although lower resistivities may be used. A .first hard mask 301 of low stress silicon nitride is provided on the base wafer 110, at step 520, as described above, FIG. 14A.
[00110] At step 521, the hard mask 301 disposed on the back surface 72 ofthe base wafer 110 may be patterned using standard lithography and dry etching techniques, for example, to provide an opening 304 for each via, as shown in FIG. 14B. The base wafer 110 may then be anisotropically etched through the opening 304 in the hard mask 301 until a pyramidal pit 303 is formed through the base wafer 110 to leave a silicon nitride hard mask membrane 302 spanning a via aperture 307 across from the opening 304, at step S22 . The sidewalk 305 of the pyramidal pit 303 comprise { 111 } crystal plane surfaces. Based on known base wafer thickness, sidewall angle, and desired membrane span 307, one can calculate the size of the target opening 304 to be provided at the back surface 72 to produce the target via aperture 307.
[00111] During the step of etching the pit 303, step 522, the hard mask 301 at the opening 304 may become undercut to create nitride shelves 306 on the sides of the opening 304. Prior to metallization of the pit sidewalls 305, it may be desirable to remove the nitride shelves 306, so that the nitride shelves 306 do not cast a shadow that prevents metallization ofthe pit sidewalk 305 under the shelves 306.
Prevention of metallization under the shelves 306 may prevent electrical continuity with later deposited metal layers.
[00112] The nitride shelves 306 may be removed by a dry etching step using, for example CF4 at a pressure of typically 50 to 200 mT, at step 523. The pressure is typically a value sufficient to etch the nitride shelves 306 on the etched side of the wafer that will typically overhanging the pit sidewalk 305 v~ithout removing the membrane. Because silicon nitride can be chemically attacked by fluorine ions and other fluorine-containing species in the etching process, and because the pressure is high enough to allow significant scattering of the molecules over a short distance, both sides of the nitride shelves 306 are etched, whereas only one surface of the silicon nitride is attacked on all other surfaces because they are either bonded to the silicon wafer on one side or have a surface that is otherwise shielded (facing the reactor plate), etc. Thus, nitride shelves 306 can be removed without completely removing the aperture membrane 302 or the nitride on the rest of the base wafer 110.
This step can be conducted at other stages, such as after the next nitride coating if such a coating is used, but should be conducted before the metallization to ensure continuity of the metallization on the surface and on the sidewalk 305. The step may be omitted, for exampe, where there is significant scattering during the deposition process, where the nitride shelf is small, or where a conformal conductor deposition is used. Instead of nitride, any other hard mask that does not self erode, for example, silicon oxide or titanium dioxide, may be usedl.
[0113] Next, at step 524, a second layer of low stress nitride 308 is provided, as shown in FIG. 14C. The second nitride layer 308 is an optional insulating layer of similar thickness (e.g., 200-500 nm) to the first nitride layer 301 and is used to electrically isolate the vial 90.
[0114] At this stage in the process, one planar surface 310 is covered by nitride membranes) 302 and one etched surface 72, with one or more etched pit-vias comprising { 111 } planes that terminate at a silicon nitride membrane 302 that is twice the thickness of the second nitride layer 308 plus the thickness of the first silicon nitride layer 301. The present via methods, whether conducted with wet etching or dry etching, provide at least one surface of the base wafer 1 I 0 with a high degree of planarity, allowing precision spincoating of resist and optionally contact lithography to pattern the subsequent mounting features that will be used for alignment of the optical elements on the silicon bench. In addition, the present process allows one to perform the second nitride coating before any metals or solders are applied, allowing the use of LPCVD silicon nitrides and oxides with conformal coatings of determined stresses.
[0115] Returning now to the process illustrated in FIGS. I3 and 14, next the base wafer 110 may have its back surface 72 metallized with a metal layer 309, at step 525, as shown in FIG. 14D. Optionally, this metallization step may be done through a shadow mask, through a conformal lift-off resist, through a laminated patterned resist, etc. An advantage of using a patterned technique on the sidewalls 30S
is that more than one independent conductive lead line 14 can be patterned through a single trench and membrane. For example in a rectangular trench with a single membrane, a series of feedthroughs can be made by patterning the back surface metal, the sidewall metal, patterning and etching the silicon nitride membrane appropriately, and patterning the top surface metal.
[0116] This structure allows for the ability to make strip lines that maintain improved impedance down the sidewall and through the via, and higher packaging densities of electrical conductors. In this way a single trench can became the conduit for a plurality, and advantageously, many conductors.
[0117] Alternatively, a blanket film may be deposited, for example, by evaporation in a rotary planetary. The metal layer 309 can later be patterned, for example, by wet etching using resist methods as described above. This meta~llization provides a layer of metal 309 {e.g., Cr/NilAu, TiWlAu, or Ti/Pt/Au), which covers the silicon nitride membrane 302 from the back surface 72 and provides conductivity down the pit sidewall 305 to the back surface 72. The metal is chosen to have sufficient mechanical strength to become free standing after the silicon nitride membrane 302 is removed or partially removed from the planar surface side of the base wafer 110.
This provides a conductive and hermetic seal across the via aperture 307. For example, a stacked layer of 20 nm thick Cr, followed by 200 nm thick Ni, covered by 500 nm thick Au has sufficient mechanical strength to span, for example, 20 to microns across the via aperture 307. Thinner or thicker mel:al layers may be used. In addition, one can electroplate such metals economically to greater thicknesses if needed for greater strength, larger membranes, or higher currents.
[0l 18] If a blanket metallization is used on the back surface 72, patterning should allow the multiple electrical contacts to be separated. This step can be performed at any point that is convenient. The patterning can be conducted using commercially available resists, for example, electrodeposited resists from Shipley Company, L.L.C., or laminated resists. It is further possible to mechanically dice the metal, penetrating into the silicon a small amount to electrically separate the film into separate vies 90. As another variation, it is possible to wet or dry etch vies from both sides of the base wafer 110, which may allow a greater packing density of vies in the same space. For example, if double-sided wet etching is employed each sloping { I 11 } plane may run close to another. This may be useful when a precise thickness of silicon is desired to form a grounded strip line or grounded co-planar configuration. On the back side of the wafer or in cases where vias are not used on the lid side, solder balls or solder pillars ~f suitable height to clear any silicon topology can be applied to the silicon package. This can be performed, for example, by a solder ball shooter, a mask template, plating, and the like. The packages can be surface mounted directly to a PWB, if desired. Further, the electrical bond pads of the package can be laid out in such a way that they can be directly attached to a lead-frame assembly after separation.
[Ol 19] Returning to FIG. 14D, the base wafer 110 has a hermetic metal layer 309, typically topped with gold, which provides electrical continuity from the back surface 72 down one or more sidewalk, and covers the silicon nitride membrane 302. In step 526, the base wafer 110 is coated with resist, patterned, and dry etched from the planar surface side to remove silicon nitride from the membrane without etching the metal layer 309 disposed in the via aperture, as shown in FIG. 14E. Any pattern can be opened from the planar surface 310. For example, one can open a series of holes, grids, or other shapes in the nitride layers 301, 308 over the metal layer 309, allowing the nitride to provide added mechanical stability if desired. The metal layer 309 may act as a suitable etch stop for a plasma etch. Further, the via 90 may be filled with a dielectric material 311 or may be plated thicker, as illustrated in FIG. 14G, at any suitable stage in the process. As mentioned above, the nitride may also be patterned in a way that allows multiple conductors if the metal on both sides and the sidewalk can be appropriately patterned. Typically, a rectangular shape would be used to make the patterning and spacing easier.
[0120] From this stage in the process, processing may proceed with steps S30 to S80 and 5110 to 5160 in a fashion similar to that provided abovE; with respect to the conductive line method illustrated in the flowchart of FIG. 12. Referring to FIG. 13 of the present via method, steps S30 to S50 may provide metallization of the planar surface 310 to provide conductive lines 14 that electrically communicate with the metal layer 309 of the via 90, as illustrated in F1G. 14F. Step S90 may be omitted from the present via method, because conductive lead lines 14 are not required in the rear lid mounting channel 64. However, step S I00 may be modified to provide a metal layer in the base of the lid mounting region b0 (or as a sealing ring if a moat is not used} for the purpose of sealing a lid 200 to the base wafer 110, rather than provide conductive lead lines in the rear lid mounting channel 64.
[0121] Again, a standard rnetallization such as those described above may be used to provide a sealing ring that is the shape and size of the sealing surface 226 of the lid 200. A metal or glass solder may be deposited on the base wafer 110 or on the lid wafer 210, or both. If a solder glass or other adhesive that will wet to the silicon base wafer I 10, or to any insulating dielectric film that may be on base wafer 110, is used to seal the lid 200, a metallization step, 5100 is not required. The metal layer may be applied, for example, by shadow masking; by lift off, or by chemical etching of the metal, among other methods known in the art of microelectronics. It will be obvious to one skilled in the art, that most steps where evaporation or sputtering has been specified may also utilize electro-chemical and electroless c;hem.ical metal deposition methods (plating) of one or more metals, for example, using a seed process and patterned mask if desired. This may be especially useful for relatively thick layers, for example, thick gold-containing layers such as thick Au-Sn layers and some lRF
transmission lines. Any combination of chemical plating, CVD, and PVD methods may be employed.
[0122] In addition, regarding the lid attachment, at step 5140, the lid bonding material 240 may comprise a solder glass as explained for the previous method.
Alternatively, since conductive lead lines 14 are not present in the rear mounting channel 64 of the present via method, the lid bonding material may comprise a metal solder, for example, 80:20 Au-Sn 3 to 8 microns thick on the lid sealing surface 226, the base wafer 110, or both. A surface not having the metal solder is typically metallized with a wettable and adherent metallization, such. as Cr-Ni -Au, although other materials can be used. For example, Pt may be used in place or in conjunction with Ni. Optionally, the Au layer may be patterned, or the entire sealing ring may be patterned, in such a way to cause the metal solder to selectively flow in given regions, wicking more or less solder where desired during the lid attachment step, S 140. Such an arrangement can be useful if there are regions of transition or higher surface roughness and a thicker metal solder layer is desired for the seal in that region. This technique can be used under the Au-Sn layer as well by patterning the underlying Cr-Ni adhesionlbarrier layer, since the metal solder will not readily wet a silicon nitride or silicon surface as compared to a metal filnn coated with Au.
[0123] The process of sealing may typically involve baking; the lid wafer and the base wafer 110 with the bonded laser die 12 in a controlled environment, for example;
with an inert gas such as He, Ar, or Nz or under vacuum, to remove any water vapor present. The lid 200 is then applied with some pressure and the part is heated to the reflow temperature of the metal solder. Optionally, the pressure may be applied after the reflow temperature is reached. It may be beneficial to seal under a pressure of He such that when cooled, the sealed area has a pressure signii-icantly higher than atmospheric pressure. This technique will allow for monitoring the level of hermeticity or leak rate in the package at any time subsequent to making the hermetic seal. This allows the deflection or curvature of the lid 200 to be inspected at any point using an automated interferometer. The results of such measurement can provide an indication of the hermeticity level of the package and whether it has been compromised with a measurable leak. For example, when the package volume is less than 1000 nl, the dwell time for leaks greater than 10~ torr liters/sec is relatively short, causing the lids 200 to substantially change their curvature. The balance of the process steps may proceed as given above for the conductive lead line method.
[0124] In addition to the process described above for forming vias 90, several variations are possible. For example, in the above-described method, the metal layer 309 is formed on the etched side of the nitride membrane 302 and the nitride membrane 302 is cut through from the planar surface 311). It is possible to reverse this, placing the metal layer first on the planar surface 310 of the nitride membrane 302 and cutting the nitride membrane 302 from the back surface 72. This process would be aided by the use of a conformal resist. Further, the second nitride layer 308 rnay be omitted, for example, if the electrical design does nut require this level of isolation. If greater levels of electrical isolation are required, a thermal oxidation step may be performed instead of, or before the second nitride layer 308 is formed, which may help to prevent pinholes. This rnay be useful when vies 90 are made by dry etching, which may have high topology on its etched surfaces.
[0125] It will also be evident to one skilled in the art of wafer processing and micromachining, that other materials and methods may be substituted to get the same or similar results. For example, laser machining may replace etching steps, certain types of plasma enhanced chemical vapor deposition (PECVD) can produce films that can be of sufficient quality to be used instead of LPCVD. Organometallic chemical vapor deposition (OMCVD) may be used to reduce the thermal budget and allow the order of steps to be significantly changed, such as producing insulated vial later in the wafer processing rather than before the metallization steps.
Electroless seeding and electroless plating of nickel and gold may be performed instead of vapor deposition methods. This can be usefully applied to high aspect ratio vies when the throwing power of the other methods is too limited, such as using a palladium chloride /stannous chloride solution into which the parts are dipped and agitated.
The chloride salts are reduced in an acid dip rendering seed of Pd and/or Sn on the surface. The parts are then put into an electroless Ni solution and plating occurs. If the Ni is plated with tensile stress, the best results will be found for making a hermetic and rugged seal on the inside of a via so that the nickel is forced into intimate contact with the via pit 303. This can be followed by an immersion in electroless gold.
b. Apertured via [0126] FIGS. 15A-1 SH schematically illustrate another process in accordance with the present invention for fabricating conductive hermetically sealed vies that have a plurality of apertures formed therein. With reference to FIG. 15A, an etch stop layer 602 is formed on wafer 610. The etch stop layer 602 is a material that can function effectively as an etch stop in subsequent via formation etching. The etch stop layer can be, for example, a silicon oxide such as silicon dioxide formed by thermal oxidation, or other known materials. The etch stop layer is deposited to a thickness such that it will not fully erode during subsequent etching processes.
Typically, the thickness is from 0.5 to 2 microns, for example, from 1 to 2 microns.
[0127] With reference to FIG. 15B, the etch stop layer is patterned by photolithography and dry etching processes to form an etch stop pattern 603.
The pattern remaining after etching may be of the same or different geometry than and extends beyond the periphery of the via hole to be formed.
[0128] A structural coating 604 of a suitable material, thickness, and stress may be provided for a future free standing membrane on one surface of the wafer 6I0.
The structural coating 604 can include one or more layers, for example, of a low stress silicon nitride layer, a polysilicon layer, or a combination thereof.
Structural coating 604 is typically from 0.2 to 1 microns in thickness. The materials used in forming the etch stop pattern 603 and the structural coating 604 can be the same or different, depending, for example, on the etchant. The same material may be used, for example, if the material of the membrane to be formed can act as a suitable etch stop in the via etching process, or if there is sufficiently high uniformity in the via etching process to create the membrane. The structural coating is patterned using photolithography and etching processes. A metal mask may optionally be used for this purpose.
[0129] With reference to FIG. 15C and 15D (partial enlarged view of 16C), via holes 606 are etched in the nitride layer 604 and wafer 610 from a first side opposite the etch stop 603 down to the etch stop. The etching is typically conducted anisotropically by dry etching, for example, deep reactive ion etching.
Etching of the nitride and silicon may be conducted in the same or different steps. The via dimensions will depend on various factors. The dimensions may be, for example, from 150 to 250 microns in diameter and about 500 microns in depth. The via hole can be of various geometries, and is typically cylindrical in shape.
[0130] With reference to FIG. 15E and 15E' (top down view of FIG. 1 SE), patterning of the previously formed membranes is conducted as follows. Through photolithography and one or more etching process, for example, dry etching such as reactive ion etching, a plurality of apertures 609 are formed in the etch stop pattern and structural coating. The mask remaining on the structure is removed. The apertures can take various forms, for example, circular, square, rectangular, arcuate, and the like, and combinations thereof. For example, the apertures 609 can all be circular as illustrated or, alternatively, can include various aperture forms, for example, a series of arcs of circles around a central circle in a coaxial-like form, and the like. The dimensions of the apertures should allow them to be later filled with a metal or other conductive material, for example, doped polysilicon. Typically, the apertures 609 have least one axis of from 1 to 3 microns across. The design employed should allow signals to be transferred from one side of the wafer to another with minimal distortion and loss, when high frequencies are involved. Further, the pattern of the apertures 609 may be optimized for one or more parameters such as mechanical strength, conductivity, ease of filling, etc. The surface of the membrane opposite the via hole is generally planar, for example, within a few microns, making it suitable for spin coating arid precision lithography.
[013 I ] With reference to FIG. I ~F, a resist mask is next formed on the wafer, and the sidewalk of the vias are passivated with one or more dielectric layer 605, for example, a silicon nitride such as low stress silicon nitride, or an oxide such as thermal silicon dioxide or a low temperature silicon oxide, and combinations thereof.
'The dielectric layer 605 protects exposed areas of the via sidewalls during subsequent processing. Advantageously, the dielectric layer may include a thermal silicon dioxide layer as a first layer to minimize or eliminate the presence of pin-holes. Dielectric layer 605 is typically deposited conformally to a thickness of from 0.1 to 0.25 microns and should not close off the apertures 609. ~ptionally, the dielectric layer can be formed prior to formation of the apertures 609 in the membrane 608.
[0132] With reference to FIGS. 15G and 15H, the via holes 606 are next hermetically sealed, for example, with one or more metal layer 612. The coating may be applied to either or both sides of the wafer, and to the apertures 609 to form plugs therein.

__ ..._. . -.....,.~ ~~~V..~~..:w., .. _ . _. _ .._... _ _ ,..... ~... ~~,-.~.«r .. ._ _ __. ~ ___-..~ _ ._.___... ___ The one or more metal layers may include, for example, one or more of an adhesion layer, a diffusion barrier, and a top conductor layer. The metal layer 612 can comprise, for example, tungsten, titanium, platinum, palladium, chromium, copper, chromium, nickel, gold, and alloys and combinations thereof, for example, Cr/Ni/Au, Cr/Au, Cr/Cu, Ti/Pt/Au, and the like. While technically not a metal, the structure may further include a polysilicon layer, for example; doped polysilicon. The metal layer can be deposited, for example, by PVD such as sputtering or evaporation, CVD
or electroless or electrolytic plating processes. It can be selectively deposited, for example, by CVD or plating processes. Exemplary via sealing processes will now be described.
[0133] A first exemplary process employs a selective CVD~~deposited metal such as tungsten. A seed layer for the selective metal layer can first be deposited on surfaces on which the metal is to be formed. In the case of tungsten, for example; a poly-silicon film such as a highly doped polysilicon can be deposited as a seed/adhesion layer. The polysilieon layer is patterned; optionally on upper and lower surfaces of the wafer 610, and the via sidewalls. Tungsten is selectively and conformally deposited on the polysilicon by CVD, for example, using WF6 and may fill the apertures 609 of the membrane. The apertures 609 in the top surface can alternatively be closed through other techniques known to those skilled in the art with conductive plugs.
[0134] A further exemplary process employs electroless plating. The wafers can first be seeded using various chemistries, for example, PdCI/SnCI, reduced and electrolessly plated with a metal, for example, with nickel t:o fill the apertures 609 and form a layer over the via sidewalls. This can be followed by an immersion plating process, for example, immersion gold. Other materials and plating processes can alternatively be employed. The thickness of the deposited film should be greater than half of the width of the largest aperture 609. The metal can be formed with compressive stress to help ensure adhesion to the surface.
[0135] A still further exemplary process for metallizing the; vias employs evaporation using, for example, a rotary planetary evaporation system.

... .. .."~..,, wrr .,wr. xANWNf4..v xy=4M4YR~.r....,.mwx ,.....",.._.,_......
,.. ..,.,..,....,..,"......_._..."..... ._....,... ..__....
..".,...",.,..w....~... .,.m.,....,.....,._......,......_...

[0136] Once the vias are plugged hermetically, additional surface metal patterning and/or micromachining steps can be formed to create microbench elements.
Conventional processes described elsewhere in this application cover the methods to process the top (planar) surface. The back side, containing the via holes 609 (if they are not plugged), can be patterned with a resist, for example, using an electrophoric resist such as EAGLETS resist, available from Shipley Company, L,.L.C., a laminate resist, or a shadow mask. The via can optionally be filled with a metal, for example, copper or nickel, through PVD, CVD or plating processes.
[0137] While the above lead line and via formation process steps have been presented in an exemplary order, one skilled in the art will appreciate that the order of several of the steps may be varied. Depending on the version, one might change these steps, their order, insert several more steps, layer thiclcnesses and/or change their target stresses, etc. Furthermore, one versed in the art of silicon micromachining will recognize the proper insertion of cleaning steps, the proper lithography, stripping, coating technology etc., which have not been mentioned for this reason. Further, by creating conductive slots surrounding a conductive square, it is possible to simulate the effects of co-planar and strip line type transmission elements. In addition, the via patterning on the bottom side can be conducted in a way to help create interconnects such as pins and sockets, or to house solder balls that will be later reflowed to make bumps.
3. Lid Fabrication [0138] The lids 200 may be etched from a silicon lid wafer 210.to provide a grid of lids 200, as shown in FIG. 4B. The grid comprises a grid of cavities 230, each cavity 230 surrounded by sidewalls. In the exemplified embodiment, four sidewalls 220 intersect at each sidewall end to form a rectangular perimeter that defines each cavity 230. As shown in the top view of FIG. 4B, the sidewalls 220 extend out of the plane of the paper. The space between each of the lids 200 may also be etched away or diced away or both, optionally to the same depth as the lid cavities 230, to provide separation channels 228 between the lids 200.

[0139] In accordance with the present invention, a variety of methods are provided for creating the etched grid of lids 200 illustrated in FIG. 4B: The principal variations in the methods are in the etching techniques and the lid wafers 210 utilized. The choice of etching techniques and lid wafers 210 depends, for example, on the design, capability of the process to achieve the desired optical finish of the sidewalk 220, and the ultimate size of the lids 200. In cases where the light may be, in addition or alternative to the lid sidewalk projected through the lid roof 250 or through the base it attaches to, the optical finish of those surfaces also impacts the type of etching and the type of wafer (e.g., SOI) chosen to make the lid wafer 210.
[0140] The sidewall thickness may be chosen to be as thin as will give suitable mechanical performance, since minimizing thickness maximizes the packing density and minimizes impact on I2F performance when conductive lead lines 14 pass under the lid 200, or pass under a dielectric layer. under the lid 200 when, for example, a sealing glass is used. In the case of conductive lead lines 14 that are to transmit RF
power or signals, minimization of RF discontinuity is desired. It may therefore be desired to keep the wall width to a small fraction of the RF wavelength at the highest frequency that will be transmitted along the lead lines 14, or otherwise to minimize or control the field interactions at such changes in the boundary conditions.
Larger lid wall thicknesses may employ a change in the lead line dimension to compensate for. a net change in dielectric constant or absorption coefficient at the design frequencies. However for smaller lids 200, it may be beneiacial to minimize the discontinuity magnitude itself. It will be evident to one skilled in the art of RF
design that these considerations are relative to the size of the features and the wavelength, and different decisions how best to handle RF transmission will be made at various frequencies. For example, at higher frequencies, if signals are to be propagated over the silicon surface 70 and under the lid 200, a thick oxide on the substrate and very thin sidewalls (e.g., 10 to 50 microns) may be used. In this way, field interactions with higher dielectric constant materials (e.g., silicon and solder glass) may be minimized.

(0141] In general, very low roughness anisotropic deep silicon dry or wet etching of (I 10) silicon wafers, or a combination of these two process~;s rnay be used to fabricate the grid of thin sidewalk 220 to provide the grid of open cavities 230 for sealing into the lid mounting channels 62, 64, 66, 68 or alternatively to the surface of the base wafer I I0. The vertical deep etching may be performed, for example, using Bosch or Cyrogenic processes or any other suitable anisotropic dry etching process.
Commercial plasma etchers such as those made by Alcatel, Surface Technology System, and PlasmaTherm may be used.
[0142] The etched sidewalk 220 typically have highly smooth surfaces at least at those regions where light is to pass through the sidewalk 220. ror example, roughness of less than about 25 nm may be desired to keep the scattering losses below I O% at the 1250 to 1650 nm wavelengths. It should be made clear the degree of scattering for a given roughness and a given wavelength is a function, for example, of the type of surface roughness present, the wavelength of light, and the angle of incidence. The desired sidewall height and lid thickness are a function, for example, of the height of the devices to be housed inside the cavity and the surface area they require.
a. Drv Etchin_ inn Silicon [0143] A frst method for fabricating the lid grid in accordance with the present invention comprises dry etching, for example, anisotropic dry etching, of a silicon lid wafer 2I0. The silicon wafer 210 may comprise any standard orientation, such as (I00), (I 10), or (I I I) silicon. The dry etching may be performed with alternating passivation and etch cycles using, for example, a Bosch process. Typically, the cycles have short intervals and relatively slow rates. Post polishing processing may optionally be used to help attain the desired surface roughness level. Such polishing may involve, for example, oxidation and stripping processes or isotropic chemical polishing.

b. SOI Dry Etching in Silicon [0144] In a similar method to the method described above, a grid of lids 200 may be fabricated by dry etching, for example, anisotropic dry etching of an SOI lid wafer 210. The SOI lid wafer 210 may include, for example, a thick oxide layer (e.g., 1 micron thick) disposed between a handle layer (e.g, 400 microns thick) and a device layer (e.g., 40 microns thick). The lid roof 250 is formed from the device layer and the lid sidewalls 220 are formed from the handle layer.
[0145] While an SOI lid wafer 2I0 is generally more expensive than a silicon wafer of the same quality, dry etching of an SOI wafer can provide better control of the etch depth as the oxide layer can act as a etch stop. Variations in etch depth across the wafer can be minimized, thus resulting in a uniform structure and ~ smooth, uniform device layer (or lid) surface.
[0146] For both of the above dry etching methods, dry etching can be used to define optical sidewalk 220 having shapes other than the four plane parallel side-surfaces of a box. For example, shapes such as gratings, prisms, or cylindrical lenses may be defined on one or more of the silicon surfaces, such as on the sidewalk 220.
Thus, added optical funetionalit5~ can be incorporated into the lid 200 to enable more complex optical and mechanical designs.
c. Wet Crystallographic Etching in Silicon [0147] A third method for fabricating the lids in accordance with the present invention comprises crystallographic etching of (110) silicon, where alignment of a selected edge of the mask apertures) is made along the [110] axis. The crystallographic etch may then be performed by etching through the mask apertures using known crystallographic etches, such as those based on KOH and EDP, for example. When properly aligned to the surface of a (110) wafer, the etching produces a plurality of lid cavities, each having two planar parallel opposing sidewalk which are substantially perpendicular to the plane; of the lid wafer and which have very smooth sidewall surfaces.
[0148] In this method, it may be beneficial to suppress the vl l 1> etch rate, because the { 111 } planes create the planar perpendicular sidewalk that are used for optical IR
transmission. For example, the etch rates are approximately 60 to 150 times slower for the } 111 } plane than for the { 100} plane. The etch rates of the { 111 }
plane relative to the {100} varies for different etchants. The differences in etch rates may be used to help planarize the { 111 } surfaces: This may be desired, for example, when misalignment of the mask and silicon wafer produce ;>tep defects, when the starting surface roughness is too high, and/or when a greater degree of sidewall planarization is desired. The other two sidewalk of the cavity may be shaped With vertical sidewalk angled, for example, 70.5 degrees, relative to the first vertical sidewalk. Alternatively, a box with 90 degree angles can be etched.
j0105] It should also be noted that when wet crystallographic etching alone is used, a small degree of wedging may be created during the etch. Wedging is believed to cause some deflection of a collimated beam passed through the walls. Without intending to be bound by any particular theory, it is believed that the wedging is caused by the slow { 111 } attack rate and the top of the etched sidewall 220 being exposed to this attack for a significantly longer period of time than the area of the { 111 } planes at the bottom of the sidewall that are only exposed at or near the end of the etch. Changing the agitation method, slowing the etch rate or changing the relative etch rates of the crystal planes may reduce or nearly eliminate this effect.
d. Wet Cr_ystallog_raphic Etching in SOI
[0149) As a further lid fabrication method, an SOI wafer (of the type described above) may be used in the wet crystallographic etching method described above.
With SOI, the insulator layer may be used as an etch-stop. Crystallographic etching of an SOI wafer may result in a more uniform lid roof thickness and suitable smoothness for passing an optical beam through the lid roof 250 than the silicon wafer etching technique.
e. Drv and Wet Combined Etchi j0150] As yet a further lid fabrication method in accordance with the present invention, a dry etch such as a deep reactive ion etch in an SOI wafer above may be performed, along with a subsequent wet etch step. The method may include a farst, dry etch step such as described above with reference to "SOI Dry Etching in Silicon".
The dry -etch step may be performed in a manner that provides a sidewall 220, that is optically smooth and perpendicular to the plane of the lid wafer 210.
The dry etch may be carefully performed with the mask aligned to the crystal axes, so that minimal surface irregularity removal is required by the subsequent wet etch step.
[0151] To correct for any resulting roughness and angular variation from perpendicular, a crystallographic wet etch may then performed. The wet etch typically proceeds for a few minutes up to 30 minutes depending on the degree of surface smoothing required. It may be preferable to achieve the smoothing using shorter etch times. Therefore, it is desirable to achieve a low surface roughness (e.g., less than 50 to 100 nm) in the initial dry etch step.
[0152] Notching can reduce the quality of the { 111 } bound sidewall surfaces by exposing additional crystal planes that can be attacked during the crystallographic post etch. During the etching process, etching continues until the slower region planaxizes on the oxide stop. During this "over etch" period, regions that first have exposed the oxide may experiences a surface charge build-up on the oxide surface due to interactions with the plasma etchant. This. may destroy the local passivation on the lower surrounding sidewalk and cause the silicon to etch laterally, or undercut along the oxide surface. If only dry etching is used, this effect is not as significant as if post wet etching is used. The undercutting can expose crystal planes making anisotropic wet etch surface clean up of the sidewalk more challenging.
Therefore, it may be desirable to use a machine capable of detecting the etch endpoint or capable of altering the etching process in a way to minimize the notching effect.
Endpoint detection systems are commercially available, for example, from STS Systems of Portsmouth, NH, USA.
[0153] While the above dry and wet etch process may be performed on an SOI
wafer, it is possible to use a silicon wafer rather than an SOI wafer.

f. "Venetian Blind" Approach [0154] With reference to FIGS. 16A-16D, a still a further lid fabrication method in accordance with the present invention utilizes a "Venetian blind" approach.
This approach comprises a wet etch (wet anisotropic crystallographic etch) in which a series of thin rectangular pits 231 are etched into a lid wafer 210 proximate to one another. The lid wafer 210 may comprise (110) silicon, for example. The pits are patterned into the surface of the lid wafer 210 to cover areas of a silicon lid wafer 210 at which each cavity 230 is to be formed, as shown in FIG. 16A. Thin separating walls 233 are disposed between respective slot pairs, as shown FIG. 16A.
Typically, the separating walls 233 have a thickness, for example of 0 to 50 microns. The spacing between the etch pit apertures on the mask may be chosen to allow the separating walls 233 to collapse and/or etch away near the end of the etching process.
Alternatively, an isotropic etch may be used to remove the separating walls 233, although this may be less desirable as it can increase the surface roughness on the two sidewalk 221 that are to be used for optical signal transmission.
[0155] The Venetian blind approach can permit a smaller cavity to be formed than would otherwise be possible by etching through a mask having a single aperture for each cavity 230. For example, with reference to FIGS. 16C: and 16D, distinctions between forming a cavity as a single cavity 241 and the Venetian blind approach are illustrated. FIG. 16C, for example, shows two approaches 1:o making cavities with the same overall width (width refers to the dimension along the long axis of a pit 231) and same cleared width between dashed lines 222. FIG. 16D shows a single pit 242 having a length that matches that of the combined pits 231. (Length refers to the direction along lines 222.) The region between the dashed lines 222 represents the completely cleared area within the overall cavity 237 encompassed by the pits 231.
That is to say, one could insert a cube with sides parallel to the dashed lines 222 into the cavity 237, and the cube would generally not interfere with any of the facets 235 seen in the cavity 237. The cube would sit substantially flat on the bottom of the pit 231. Of course the length of the cube would depend on the length of the cavity 237.
[0156] A representative cavity 241 may be created by patterning a large opening and etching to a depth D. At D, this cavity 241, 242 comprises a width disposed between the dashed lines 222. The corresponding cleared length is set by the length of the cavity 241. In contrast, using the Venetian blind approach to cavity creation, a series of pits 231 are provided having an etched depth D.
[0157] The two cavities 237, 241 shown in the FIG. 16C generally have the same width, the same cleared area, and different lengths. The cleared width of a pit 231 etched from a single contiguous mask aperture maybe extended, for example, by reducing the etch depth, widening the mask pattern, or a combination thereof.
If the depth and width are fixed, or if it is desired to keep them to a minimum to provide a smaller lid, the length of the pit 231 is constrained by the depth and the width.
FIG. 16D shows the result if the single cavity 242 is extended in length without regard to the width. In this case, the depth remains the same. The cavity 241 represents the limit of cavity length (or ."unit length") for a given width and depth.
However, as shown in FIG. 16C, the length of the cavity 237 can be extended without an increase in width or decrease in depth by dividing the cavity 237 into multiple pits 231, as contrasted to the cavity 241. In other words, the final length of the cavity 237 may be freely chosen by varying the number of pits 231 utilized. For a given length, width, and depth, the number of pits 231 to create the cavity 237 is given by the ratio of the desired total length to the individual pit length, rounding the ratio up to the next whole number. The cavity 237 may be created by a minimum of two pits 231.
[0158] In forming the lids shown in FIGS. 16A-16D, the process may begin with selecting a lid wafer 210 of appropriate orientation and size based on the desired dimensions of the preferred cavity 237. Then, an appropriate hard masking material may be deposited for wet silicon etching based on desired silicon etchant.
(e.g., a silicon nitride mask with KOH etchant.) Next, the hard mask is aligned and patterned with simple photolithography and dry or wet etching of silicon nitride.
Afterwards, the lid wafer 219 is etched in the etchant to a selected depth.
[0159] At this point, the pits 231 are created and finished. If multiple pits 231 are used to create a cavity 237 in the (1 IO)-etch case, optionall;~ additional steps may be used to remove the separating walls 233 between the pits 231. Such additional steps include, for example, breaking the walls out mechanically, .etching with an isotropic etchant, and the Pike. The original mask pattern may be designed such that the separating walls 231 disappear at the conclusion of the anisotropic wet etch due to mask undercut. An SOI lid wafer may be used as an etch si;op if desired for this process as well.
~. Cr~llographic Etch at 45°
[0160] As a further exemplary lid fabrication method in ac<;ordance with the present invention, a crystallographic etch of (100) silicon with the mask oriented at a 45°
angle to the <110> direction rnay be used, as illustrated in FIGS. 17A and 17B.
[016I] A rectangular mask aperture 351 may be provided over the lid wafer 210.
In creating a lid 300, a large overall lid size may be required to create an appropriately sized sidewall 321 through which a beam may be passed. 7~he lid 300 may have a flat lid sealing surface 326 to which an adhesive may be applied. For example, assuming that the size of the lid sealing surface 326 is fixed, the size of the lid 300 formed by the present method is dictated, for example, by the desired size of the sidewall 321. Before reaching the critical depth B at which point the sidewall form a triangle with a pointed apex B, the sidewall 321 comprises a truncated triangle. As the etching progresses, the shape of the sidewall 32I approaches a generally triangular shape by simultaneously getting deeper and narrower. The angles of the sidewall edges are generally constant. At the critical depth, the sidewalk 321 meet to provide a generally triangular sidewaPl 321. As the etching continues, the edges of each sidewalP 321, continue to approach each other and the bottom apex moves toward the upper wafer surface from which the etching is performed. If etching continues for a sufficiently long period, the triangular vertical sidewaPl 321 disappears and only a pyramidal pit is left witlfn no vertical surfaces.
This is the same pit as if the Pid wafer 310 were patterned with a larger aperture and aligned parallel to the { P 11 } planes in typical manner.
[0l 62] The finished size of the sidewall 321 can be adjusted, for example, by changing the width of the mask pattern, changing the desired depth of the pit, or both.
If the width of the mask pattern is fixed, the size of the sidewall 321 decreases with depth of the pit. If the depth of the pit is fixed, the size of the sidewall 321 decreases with decreasing mask pattern width. Furthermore, the overall width of the pit (and thus the sidewall 321) decreases with decreasing mask aperture width. The illustration of FIG. 17B depicts a minimum depth and width {and thus overall lid size) to allow a beam "B" to pass through the sidewall 321 while leaving the appropriate distance between the beam and lid surface for our design.
[0163] In the foregoing processes, known etchants, for example, alkaline hydroxides such as KOH and T1V1AH, or EDP, as well as other known, more oxide selective anisotropic etchants may be employed. EDP may, for exarr~ple, produce less surface roughness on <111> crystal plane surfaces. Further, techniques such as adding surfactants to improve surface roughness maybe employed as is known in the art to achieve the desired final shape and surface finish in anisotropic etching. Low stress layers, for example, low stress nitrides, rnay be employed as a mask material for wet etching. A silicon oxide such as Si02, a metal {e.g. Cr, Al, Ni), a resist, or a combination thereof may be used as a mask material for dry etching. The masks may be patterned using known techniques.
[0164] In addition, when using wet etching processes, it is possible to define the pits using a hard mask of silicon nitride with a patterned thin film solder (e.g., eutectic or near eutectic Au-Sn composition, In, Sn-Pb, In-Cu, transient liquid phase (TLP) materials, TAD materials, and the like) on the top of the sidewalk 220. The solder can hold up to several of the anisotropic etches with suitable results, allowing one to have a solder ring on the surface ready for sealing the lids 200 to the base wafer 110.
The thickness, composition, melting point, and pattern may depend, for example, on roughness, the lid mounting region 60, the bonding hierarcl-~y, etc. Atypical thickness for the solder is, for example, 3 to 8 microns. Furthermore, adhesion layers and diffusion barriers such as those described above, for example, t~r/Ni, Cr/Pt, TiIW, and the like, may be used under the solder. The solder may exist on the lid 200, the base wafer 110, or both. The solder may be compositionally divided between the two to prevent it from reacting or diffusing deleteriously during other stages ofthe thermal processing. (In some cases, solder is not needed when the lid 200 is sealed by epoxy bonding, anodic bonding, fusion bonding, HF or other low temperature covalent bonding, Au-Au bonding, etc.) [0165] It may be desired to deposit various coatings, for example, an antireflective (AR) coating, at a temperature higher than that compatible with the solder or metal on the sealing surface of the lid wafer. After etching of the lid, the mask is optionally stripped - metal masks are typically stripped. The wafer may then be AR
coated, for example, by conformal CVD, using reactants such as an organometallic compound, silane, dichlorosilane, oxygen and nitrogen. The deposition typically is conducted at a temperature above 400°C, which is incompatible with most solders and metals.
After AR coating, the wafer or grid of parts may be aligned on a shadow mask containing a series of rotationally asymmetric openings that trace the solder pattern desired. For example, if the grid of lids includes cavities 100 microns in thickness, a shadow mask can be employed. A shadow mask is typically an etched thin metal having openings through which an evaporated stream of metal can be deposited.
[OI66] Continuous coverage of the sealing surface while preventing metal deposition or "overspray"on the interior or exterior wall surfaces is desired when the lid is used for light transmission or to contain waveguides. The shadow mask may be constructed, for example, with an open ring tracing the lid's sealing surface, repeated for each device on the wafer or grid: The shadow mask ma;y be aligned to the part with a desired accuracy, which is a function of the overlap and undersizing of the shadowmask on the lid sealing surface. For example, a 100 micron wall may utilize a 75 micron opening in the shadowmask, typically requiring; at least ~ 12.5 microns of alignment between the two to prevent metallic overspray on the sidewalk. In practice, the alignment is typically better than this minimum as there is often a gap between the shadow mask and the part which should be taken into account: The alignment can readily be determined based, for example, on. the alignment technique, metals deposited, and separation and alignment of the evaporation source and substrate.

[0167] The mask design may include an open ring with a metal center, which shields the interior volume of the lid cavity. The open ring may be broken in one or more places by a land which attaches the body of the shadow mask metal with the metal center. The land which attaches the center and the body of the mask may be disposed in such a way that when the lid is rotated 180 degrees, a second metallization produces continuous coverage all around the sealing surface. If the metal centers of the sealing mask are attached by more than one land, they may be placed asymmetrically so that uninterrupted metallization can be achieved. For example, the mask may be formed of 3 mil thick stainless steel with two lands that are each 2 to 3 mils, that attach the interior of the mask rnetal center to a 4 mil ring. The lands may be placed asymmetrically so that when the wafer or grid is rotated 180 degrees, a complete metallization of the sealing surface can be achieved.
[0168] The mask can be formed by depositing a first wettahle metallization, for example, Cr (50 nm)/Ni (200 nm)/Au (200 nm). The part is rotated by a designed value, for example, 90 or 180 degrees. A second metallization may be performed using the same or different structure and thickness as the first metallization structure.
The solder, for example, Au-Sn (2 to 10 microns), is next deposited. A solder-wettable metal ring can thus made from two deposition steps. The solder may be deposited continuously over the bonding surface and covers the same surface except for small gaps in the location of the metal lands. When the lid is axaplied to the base wafer and the solder is reflowed, the small gap is filled with solder by wicking action.
This gap may also help to prevent a vapor seal from forming during a subsequent bake-out step which may be used to remove moisture and condensable vapors prior to hermetic sealing of the lids to the bases.
[0169] While silicon is effective for transmitting wavelengths greater than 1100 nm, it may be desirable in certain applications to have the capability of transmitting wavelengths in the visible spectrum and near IR through the lid 200. This capability may be useful, for example, in packaging pump lasers, VCSELS, many sensors, and the like. In this regard, a sidewall portion in the silicon lid that is optically transparent at a desired wavelength rnay be provided. For example, referring to FIGS. 18A-18D, a silicon lid 200 may be fabricated in (100) single crystal silicon by the methods described above. Then, a portion of the sidewall 222 may be thinned to a thickness of 5-30 microns, as shown in FIGS. 18A and 188. The lid with a thinned sidewall 222 may then be thermally oxidized for sufficient time period to cause the entire depth of the sidewall 22 to oxidized to ilicon dioxide, which is optically transparent to the visible spectrum, as shown in FIGS. 18C and 18D. To reduce mechanical stress that may result from the resulting change in solid volume, the use of etched posts or rectangles that grow into each other during oxidation to create a solid wall may be utilized. Such posts may be created by dry etching, wet etching, or both.
[0170] Where an SOI wafer can be used, the lid wafer 210 may comprise two separate wafers that are later bonded, one for sidewalk 220 and another sealed later for the roof 250. Further, when dry etching is used, added alignment features such as holes for alignment pins, or a front nozzle to aid in aligning to ferrule sleeves can readily be incorporated into the design.
D. Hermeticity Vesting In accordance with a further aspect of the invention, the hermeticity of the device package can conveniently be tested. The lid dimensions are selected such that the roof 250 deflects to a measurable degree in response to a change or loss in hermeticity. A measurement instrument, for example, an interferometer, can be used for this purpose. The package is filled with an inert gas, for example, helium or nitrogen, during fabrication to create a bulge in the lid. The ratio ofthe thickness of the roof 250 to the longest dimension (span) ofthe cavity 230 is typically 1/10 to i/50. For example, for a lid 200 having a cavity span of 1 nnm, a 40 micron lid thickness may provide adequate deflection and durability. ~Clhe lid thickness is typically between 20 and 100 microns. As the degree of the lid bulge is related to the pressure in the package, the degree of change in the lid bulge is indicative of the hermeticity and leak rate.

[0171 ] These and other advantages of the present invention will be apparent to those skilled in the art from the foregoing specification. Accordingly, it will be recognized by those skilled in the art that changes or modifications ma;y be made to the above-described embodiments without departing from the broad inventive concepts of the invention. It should therefore be understood that this invention is not limited to the particular embodiments described herein, but is intended to include all changes and modifications that are within the scope and spirit of the; invention as set forth in the claims.
[0172] In addition to the above-described technique for testing hermeticity, one may alternatively fill the package with a detectable gas, for example, helium, and use standard leak detection equipment to measure the leak rate from the package.

Claims (13)

1. An optoelectronic device package, comprising:
a base substrate comprising an optoelectronic device mounting region on a surface of the base substrate and a lid mounting region;
an optoelectronic device mounted on the optoelectronic device mounting region; and a lid mounted on the lid mounting region to form an enclosed volume between the base substrate and the lid, wherein the optoelectronic device is in the enclosed volume, and wherein the lid has an optically transmissive region suitable for transmitting light of a given wavelength along an optical path to or from the optoelectronic device, wherein at least a portion of the lid mounting region is disposed along the optical path below the surface of the base substrate to a depth below the optical path.
2. The optoelectronic device package of claim 1, wherein the optically transmissive region is silicon.
3. The optoelectronic device package of claim 1, wherein the optically transmissive region is a sidewall portion of the lid.
4. The optoelectronic device package of claim 1, wherein the optically transmissive region is a roof portion of the lid, wherein the substrate further comprises a reflective surface for reflecting light from the optoelectronic device through the roof portion of the lid.
5. The optoelectronic device package of claim 1, wherein the base substrate further comprises a depression disposed along the optical axis proximate the optoelectronic device, and a lens mounted in the depression to provide a collimated beam at the optically transmissive region of the lid.
6. The optoelectronic device package of claim 1, further comprising:
a fiber groove in the base substrate disposed along the optical axis and external to the lid;
an optical fiber segment disposed in the fiber groove;
a depression disposed along the optical axis between the lid and the fiber groove;
an optical element mounted in the depression for receiving the light and focusing the received light on an endface of the fiber segment; and an encapsulant over the optical element and/or the fiber segment.
7. A wafer or grid level optoelectronic device package, comprising:
a base wafer or base grid, the base wafer or base grid comprising a plurality of base die each comprising an optoelectronic device mounting region and a lid mounting region;
an optoelectronic device mounted on each of the optoelectronic device mounting regions; and a lid wafer or lid grid attached to the base wafer or base grid, the lid wafer or lid grid comprising a plurality of lid die, each lid die being mounted on a respective said lid mounting region to form an enclosed volume between the base die and lid die, wherein a respective optoelectronic device is in the enclosed volume, and wherein each lid die has an optically transmissive region suitable for transmitting light of a given wavelength along an optical axis to or from the optoelectronic device.
8. The wafer or grid level optoelectronic device package of claim 7, wherein the lid wafer or grid comprises a silicon-on-insulator wafer comprising a glass layer disposed between silicon layers, and wherein each lid die comprises a silicon sidewall and a roof comprising glass.
9. A wafer- or grid-level optoelectronic device package lid, comprising:
a silicon wafer or grid comprising a plurality of die, wherein each die comprises a plurality of sidewalk and a roof connected to the sidewalk to form a cavity, wherein one or more sidewall comprises an optically transmissive region suitable for transmitting light of a given wavelength along an optical axis through the sidewall.
10. The wafer- or grid-level optoelectronic device package lid of claim 9, further comprising an antireflective coating on the optically transmissive region.
11. A method of forming an optoelectronic device lid on a wafer or grid level, comprising:
providing a silicon wafer or grid comprising a plurality of die; and etching the wafer or grid to produce a plurality of lid structures each comprising a plurality of sidewalk and a roof connected to the sidewalls to form a cavity;
wherein one or more sidewall of each lid structure comprises an optically transmissive region suitable for transmitting light of a given wavelength along an optical axis through the sidewall.
12. A connectorized optoelectronic device, comprising:
a connector having an interior cavity and m optical port; and an optoelectronic device package disposed in the cavity in optical communication with the optical port.
13. The connectorized optoelectronic device of claim 12, wherein the optoelectronic device package comprises:
a base substrate comprising an optoelectronic device mounting region and a lid mounting region;
an optoelectronic device mounted on the optoelectronic device mounting region; and a lid mounted on the lid mounting region to form an enclosed volume between the base substrate and the lid, wherein the lid has an optically transmissive region suitable for transmitting light of a given wavelength along an optical axis to or from the optoelectronic device.
CA2481637A 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof Expired - Fee Related CA2481637C (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CA2843395A CA2843395C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50286803P 2003-09-15 2003-09-15
US60/502,868 2003-09-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CA2843395A Division CA2843395C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof

Publications (2)

Publication Number Publication Date
CA2481637A1 true CA2481637A1 (en) 2005-03-15
CA2481637C CA2481637C (en) 2014-05-13

Family

ID=34135393

Family Applications (5)

Application Number Title Priority Date Filing Date
CA2793031A Expired - Fee Related CA2793031C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof
CA2884972A Expired - Fee Related CA2884972C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof
CA2843395A Expired - Fee Related CA2843395C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof
CA2481637A Expired - Fee Related CA2481637C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof
CA2481616A Expired - Fee Related CA2481616C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CA2793031A Expired - Fee Related CA2793031C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof
CA2884972A Expired - Fee Related CA2884972C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof
CA2843395A Expired - Fee Related CA2843395C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
CA2481616A Expired - Fee Related CA2481616C (en) 2003-09-15 2004-09-15 Device package and methods for the fabrication and testing thereof

Country Status (7)

Country Link
US (11) US7329056B2 (en)
EP (3) EP1517166B1 (en)
JP (3) JP4911885B2 (en)
KR (4) KR101146019B1 (en)
CN (4) CN100378938C (en)
CA (5) CA2793031C (en)
TW (2) TWI241722B (en)

Families Citing this family (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7233027B2 (en) * 2001-04-30 2007-06-19 Merge Optics Gmbh Arrangement comprising at least two different electronic semiconductor circuits
US7073954B1 (en) * 2001-09-17 2006-07-11 Stratos International, Inc. Transceiver assembly for use in fiber optics communications
US9614266B2 (en) 2001-12-03 2017-04-04 Microfabrica Inc. Miniature RF and microwave components and methods for fabricating such components
WO2003049514A2 (en) 2001-12-03 2003-06-12 Memgen Corporation Miniature rf and microwave components and methods for fabricating such components
US8971679B2 (en) * 2002-08-28 2015-03-03 Optonet Inc. Apparatus and method for passive alignment of optical devices
EP1609206B1 (en) * 2003-03-04 2010-07-28 Rohm and Haas Electronic Materials, L.L.C. Coaxial waveguide microstructures and methods of formation thereof
US10297421B1 (en) 2003-05-07 2019-05-21 Microfabrica Inc. Plasma etching of dielectric sacrificial material from reentrant multi-layer metal structures
EP1517166B1 (en) 2003-09-15 2015-10-21 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US6985645B2 (en) * 2003-09-24 2006-01-10 International Business Machines Corporation Apparatus and methods for integrally packaging optoelectronic devices, IC chips and optical transmission lines
EP1680843A4 (en) * 2003-10-20 2009-05-06 Binoptics Corp Surface emitting and receiving photonic device
US7333521B1 (en) * 2003-12-04 2008-02-19 National Semiconductor Corporation Method of sensing VCSEL light output power by monitoring electrical characteristics of the VCSEL
US7045827B2 (en) * 2004-06-24 2006-05-16 Gallup Kendra J Lids for wafer-scale optoelectronic packages
DE102004031878B3 (en) * 2004-07-01 2005-10-06 Epcos Ag Electrical multilayer component with reliable solder contact
KR100594716B1 (en) * 2004-07-27 2006-06-30 삼성전자주식회사 Cap wafer comprising cavity, semiconductor chip comprising the cap wafer, and method thereof
US20060046501A1 (en) * 2004-08-27 2006-03-02 Texas Instruments Incorporated Screening of electroless nickel/immersion gold-plated substrates with black pad defect
US7833834B2 (en) * 2004-09-30 2010-11-16 Sharp Kabushiki Kaisha Method for producing nitride semiconductor laser light source and apparatus for producing nitride semiconductor laser light source
JP2006119024A (en) * 2004-10-22 2006-05-11 Tokyo Electron Ltd Probe and its manufacturing method
JP4451371B2 (en) * 2004-12-20 2010-04-14 シャープ株式会社 Nitride semiconductor laser device
US20060132544A1 (en) * 2004-12-21 2006-06-22 Corley Richard E Jr Laser tacking and singulating method and system
US7630422B1 (en) 2005-01-14 2009-12-08 National Semiconductor Corporation Driver for vertical-cavity surface-emitting laser and method
US8050526B2 (en) * 2005-02-08 2011-11-01 Samsung Electronics Co., Ltd. Micro-optical device and method of making same
KR20060104531A (en) * 2005-03-30 2006-10-09 삼성에스디아이 주식회사 The manufacturing method of light emission device
US7750356B2 (en) 2005-05-04 2010-07-06 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Silicon optical package with 45 degree turning mirror
CA2548857C (en) * 2005-06-01 2015-01-06 Rohm And Haas Electronic Materials Llc Optical assemblies
US7790484B2 (en) * 2005-06-08 2010-09-07 Sharp Kabushiki Kaisha Method for manufacturing laser devices
US20070012661A1 (en) * 2005-07-13 2007-01-18 Vasilyeva Irina V Silicon nitride passivation layers having oxidized interface
JP2008026839A (en) * 2005-08-15 2008-02-07 Rohm & Haas Electronic Materials Llc Bonding method and optical assembly
US7772116B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100713121B1 (en) * 2005-09-27 2007-05-02 한국전자통신연구원 Chip and a chip stack using the same and a method for manufacturing the same
US7619312B2 (en) * 2005-10-03 2009-11-17 Sun Microsystems, Inc. Method and apparatus for precisely aligning integrated circuit chips
CA2563182A1 (en) * 2005-10-11 2007-04-11 Rohm And Haas Electronic Materials Llc Micro-optical device
US7913719B2 (en) * 2006-01-30 2011-03-29 Cooligy Inc. Tape-wrapped multilayer tubing and methods for making the same
US7807547B2 (en) * 2006-03-28 2010-10-05 Innovative Micro Technology Wafer bonding material with embedded rigid particles
JP2007294523A (en) * 2006-04-21 2007-11-08 Oki Electric Ind Co Ltd Surface packaging type optical coupler, packaging method therefor and manufacturing method therefor
US20070262051A1 (en) * 2006-05-12 2007-11-15 Advanced Chip Engineering Technology Inc. Method of plasma etching with pattern mask
US20070279885A1 (en) * 2006-05-31 2007-12-06 Basavanhally Nagesh R Backages with buried electrical feedthroughs
JP2008010659A (en) * 2006-06-29 2008-01-17 Disco Abrasive Syst Ltd Method of processing via hole
EP2043204B1 (en) * 2006-07-19 2016-05-04 Nikon Corporation Cassette for optical fiber amplifier, optical fiber amplifier and light source device
JP2008028143A (en) * 2006-07-21 2008-02-07 Sumitomo Electric Ind Ltd Optical module
US7704874B1 (en) * 2006-10-02 2010-04-27 Newport Fab, Llc Method for fabricating a frontside through-wafer via in a processed wafer and related structure
US20080083611A1 (en) * 2006-10-06 2008-04-10 Tegal Corporation High-adhesive backside metallization
US20080157353A1 (en) * 2006-12-29 2008-07-03 Texas Instruments Incorporated Control of Standoff Height Between Packages with a Solder-Embedded Tape
TWI364399B (en) 2006-12-30 2012-05-21 Rohm & Haas Elect Mat Three-dimensional microstructures and methods of formation thereof
US20080181558A1 (en) * 2007-01-31 2008-07-31 Hartwell Peter G Electronic and optical circuit integration through wafer bonding
JP4919984B2 (en) 2007-02-25 2012-04-18 サムスン エレクトロニクス カンパニー リミテッド Electronic device package and method for forming the same
JP5010948B2 (en) * 2007-03-06 2012-08-29 オリンパス株式会社 Semiconductor device
US7994594B2 (en) 2007-03-15 2011-08-09 Seiko Epson Corporation Electronic device, resonator, oscillator and method for manufacturing electronic device
US7887243B2 (en) 2007-03-16 2011-02-15 Honeywell Federal Manufacturing & Technologies, Llc Miniature mechanical transfer optical coupler
US7898356B2 (en) 2007-03-20 2011-03-01 Nuvotronics, Llc Coaxial transmission line microstructures and methods of formation thereof
EP3104450A3 (en) 2007-03-20 2016-12-28 Nuvotronics, LLC Integrated electronic components and methods of formation thereof
EP1986028A3 (en) * 2007-03-27 2008-11-05 Rohm and Haas Electronic Materials LLC Optical assemblies and their methods of formation
US9018724B2 (en) * 2007-03-28 2015-04-28 Advancedmems Llp Method of producing optical MEMS
SG148054A1 (en) * 2007-05-17 2008-12-31 Micron Technology Inc Semiconductor packages and method for fabricating semiconductor packages with discrete components
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7919973B2 (en) * 2007-06-22 2011-04-05 Microchip Technology Incorporated Method and apparatus for monitoring via's in a semiconductor fab
US20090026619A1 (en) * 2007-07-24 2009-01-29 Northrop Grumman Space & Mission Systems Corp. Method for Backside Metallization for Semiconductor Substrate
US8217482B2 (en) * 2007-12-21 2012-07-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared proximity sensor package with reduced crosstalk
JP2009259595A (en) * 2008-04-16 2009-11-05 Hitachi Cable Ltd Metal material with electrical contact layer and method for manufacturing the same
US20090279172A1 (en) * 2008-05-12 2009-11-12 Higashi Robert E Microelectromechanical lamellar grating
US8490678B2 (en) * 2008-06-02 2013-07-23 Gerald Ho Kim Silicon-based thermal energy transfer device and apparatus
JP2010010447A (en) * 2008-06-27 2010-01-14 Disco Abrasive Syst Ltd Method for forming electrode of semiconductor device
WO2010009358A1 (en) * 2008-07-17 2010-01-21 Lawrence Pumps, Inc. Apparatus for simultaneous support of pressurized and unpressurized mechanical shaft sealing barrier fluid systems
US8238401B2 (en) * 2008-08-25 2012-08-07 Gerald Ho Kim Silicon-based lens support structure for diode laser
US8956904B2 (en) 2008-09-10 2015-02-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
US7943411B2 (en) * 2008-09-10 2011-05-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
DE102008058003B4 (en) * 2008-11-19 2012-04-05 Infineon Technologies Ag Method for producing a semiconductor module and semiconductor module
US20100127407A1 (en) * 2008-11-25 2010-05-27 Leblanc John Two-sided substrateless multichip module and method of manufacturing same
US8800351B2 (en) 2008-12-12 2014-08-12 Fresenius Medical Care Deutschland Gmbh Apparatus for treating a medical liquid, and method for checking the leaktightness of the apparatus
JP5532611B2 (en) * 2009-01-23 2014-06-25 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and design support apparatus
JP5302020B2 (en) * 2009-01-26 2013-10-02 浜松ホトニクス株式会社 Optical module
JP5389464B2 (en) * 2009-02-10 2014-01-15 フリースケール セミコンダクター インコーポレイテッド Manufacturing method of semiconductor device
US8659371B2 (en) * 2009-03-03 2014-02-25 Bae Systems Information And Electronic Systems Integration Inc. Three-dimensional matrix structure for defining a coaxial transmission line channel
US8580596B2 (en) * 2009-04-10 2013-11-12 Nxp, B.V. Front end micro cavity
US20100283138A1 (en) * 2009-05-06 2010-11-11 Analog Devices, Inc. Nickel-Based Bonding of Semiconductor Wafers
US8420999B2 (en) * 2009-05-08 2013-04-16 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Metal shield and housing for optical proximity sensor with increased resistance to mechanical deformation
US8779361B2 (en) * 2009-06-30 2014-07-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Optical proximity sensor package with molded infrared light rejection barrier and infrared pass components
US9525093B2 (en) 2009-06-30 2016-12-20 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared attenuating or blocking layer in optical proximity sensor
US8957380B2 (en) * 2009-06-30 2015-02-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared attenuating or blocking layer in optical proximity sensor
US8716665B2 (en) * 2009-09-10 2014-05-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Compact optical proximity sensor with ball grid array and windowed substrate
US8143608B2 (en) * 2009-09-10 2012-03-27 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Package-on-package (POP) optical proximity sensor
US8350216B2 (en) * 2009-09-10 2013-01-08 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Miniaturized optical proximity sensor
US20110123783A1 (en) * 2009-11-23 2011-05-26 David Sherrer Multilayer build processses and devices thereof
US9733357B2 (en) * 2009-11-23 2017-08-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared proximity sensor package with improved crosstalk isolation
US8917150B2 (en) * 2010-01-22 2014-12-23 Nuvotronics, Llc Waveguide balun having waveguide structures disposed over a ground plane and having probes located in channels
WO2011091334A2 (en) 2010-01-22 2011-07-28 Nuvotronics, Llc Thermal management
US8669569B2 (en) * 2010-02-04 2014-03-11 Yu-Nung Shen Light emitting diode package and method for fabricating the same
CN102148202B (en) * 2010-02-09 2016-06-08 精材科技股份有限公司 Wafer encapsulation body and forming method thereof
CN102884459A (en) * 2010-02-12 2013-01-16 艾洁莱特公司 Hermetic package with leaded feedthroughs for in-line fiber optic devices and method of making
TWI419383B (en) * 2010-03-08 2013-12-11 Harvatek Corp Led package structure with high heat-dissipating efficiency and method of manufacturing the same
KR101637581B1 (en) * 2010-03-09 2016-07-07 엘지이노텍 주식회사 Light emitting device package and fabricating method thereof
CN102237632A (en) * 2010-04-26 2011-11-09 无锡亮源激光技术有限公司 Outdoor semiconductor laser module
US20110285840A1 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Solder bonding and inspection method and apparatus
DE102010029760B4 (en) * 2010-06-07 2019-02-21 Robert Bosch Gmbh Device with a via and method for its preparation
US9341792B2 (en) 2010-06-29 2016-05-17 Cisco Technology, Inc. Vent structures for encapsulated components on an SOI-based photonics platform
US10739460B2 (en) 2010-08-11 2020-08-11 Apple Inc. Time-of-flight detector with single-axis scan
WO2012020380A1 (en) 2010-08-11 2012-02-16 Primesense Ltd. Scanning projectors and image capture modules for 3d mapping
US8528397B2 (en) * 2010-08-18 2013-09-10 International Business Machines Corporation Hermeticity sensor and related method
CN101997269B (en) * 2010-09-15 2012-02-08 山东华光光电子有限公司 Method for manufacturing semiconductor laser bar
GB2484486A (en) * 2010-10-12 2012-04-18 Oclaro Technology Ltd Component Temperature Control
KR101430634B1 (en) * 2010-11-19 2014-08-18 한국전자통신연구원 Optical Modules
CN102055132A (en) * 2010-11-26 2011-05-11 中国科学院物理研究所 Semiconductor laser device capable of deep cooling and sealing device thereof
JP2012137597A (en) * 2010-12-27 2012-07-19 Fujitsu Ltd Optical device, optical transmission/reception unit and optical communication system
US8841597B2 (en) 2010-12-27 2014-09-23 Avago Technologies Ip (Singapore) Pte. Ltd. Housing for optical proximity sensor
US8582618B2 (en) 2011-01-18 2013-11-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Surface-emitting semiconductor laser device in which an edge-emitting laser is integrated with a diffractive or refractive lens on the semiconductor laser device
CN103460054B (en) 2011-03-24 2015-04-22 希森美康株式会社 Specimen analysis control system, specimen information processing device, and specimen analysis method
US8315287B1 (en) 2011-05-03 2012-11-20 Avago Technologies Fiber Ip (Singapore) Pte. Ltd Surface-emitting semiconductor laser device in which an edge-emitting laser is integrated with a diffractive lens, and a method for making the device
JP5583632B2 (en) * 2011-05-13 2014-09-03 Nttエレクトロニクス株式会社 Optical module
US8866300B1 (en) 2011-06-05 2014-10-21 Nuvotronics, Llc Devices and methods for solder flow control in three-dimensional microstructures
US8814601B1 (en) 2011-06-06 2014-08-26 Nuvotronics, Llc Batch fabricated microconnectors
US20120319710A1 (en) * 2011-06-15 2012-12-20 Probelogic, Inc. Method and apparatus for implementing probes for electronic circuit testing
WO2013010108A1 (en) 2011-07-13 2013-01-17 Nuvotronics, Llc Methods of fabricating electronic and mechanical structures
GB2494640A (en) * 2011-09-13 2013-03-20 Zinir Ltd Optical substrate with recesses for passive alignment of optical components
US9052445B2 (en) 2011-10-19 2015-06-09 Cisco Technology, Inc. Molded glass lid for wafer level packaging of opto-electronic assemblies
WO2013059633A1 (en) * 2011-10-20 2013-04-25 Si-Ware Systems Integrated monolithic optical bench containing 3-d curved optical elements and methods of its fabrication
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8809875B2 (en) 2011-11-18 2014-08-19 LuxVue Technology Corporation Micro light emitting diode
CN103163361B (en) * 2011-12-13 2015-10-21 英业达股份有限公司 The combination of electronic component and detection system and the detection method of electronic component
US9773750B2 (en) * 2012-02-09 2017-09-26 Apple Inc. Method of transferring and bonding an array of micro devices
WO2013121366A1 (en) * 2012-02-15 2013-08-22 Primesense Ltd. Scanning depth engine
US9981844B2 (en) 2012-03-08 2018-05-29 Infineon Technologies Ag Method of manufacturing semiconductor device with glass pieces
CN109994586B (en) * 2012-03-30 2022-06-03 亮锐控股有限公司 Encapsulated semiconductor light emitting device
EP4228109A3 (en) 2012-05-08 2023-10-25 MACOM Technology Solutions Holdings, Inc. Lasers with beam-shape modification
US9500808B2 (en) 2012-05-09 2016-11-22 The Boeing Company Ruggedized photonic crystal sensor packaging
CN104350406B (en) * 2012-06-05 2016-04-27 恩普乐股份有限公司 Optical receptacle and possess the optical module of this optical receptacle
US9165723B2 (en) 2012-08-23 2015-10-20 Harris Corporation Switches for use in microelectromechanical and other systems, and processes for making same
US9053874B2 (en) 2012-09-20 2015-06-09 Harris Corporation MEMS switches and other miniaturized devices having encapsulating enclosures, and processes for fabricating same
US9053873B2 (en) 2012-09-20 2015-06-09 Harris Corporation Switches for use in microelectromechanical and other systems, and processes for making same
US20140082935A1 (en) * 2012-09-27 2014-03-27 Volex Plc Method for passive alignment of optical components to a substrate
KR102094995B1 (en) * 2012-10-08 2020-03-31 삼성전자주식회사 Thermoelectric module, thermoelectric device comprising the same, and process for preparing the thermoelectric element
JP6247495B2 (en) * 2012-11-26 2017-12-13 キヤノン株式会社 Semiconductor device and manufacturing method thereof
US9325044B2 (en) 2013-01-26 2016-04-26 Nuvotronics, Inc. Multi-layer digital elliptic filter and method
TW201430430A (en) * 2013-01-31 2014-08-01 Hon Hai Prec Ind Co Ltd Optical communication module
WO2014141115A2 (en) 2013-03-15 2014-09-18 Primesense Ltd. Depth scanning with multiple emitters
US9306255B1 (en) 2013-03-15 2016-04-05 Nuvotronics, Inc. Microstructure including microstructural waveguide elements and/or IC chips that are mechanically interconnected to each other
US9306254B1 (en) 2013-03-15 2016-04-05 Nuvotronics, Inc. Substrate-free mechanical interconnection of electronic sub-systems using a spring configuration
DE102013205594A1 (en) * 2013-03-28 2014-10-02 Osram Opto Semiconductors Gmbh Laser component and method for its production
US9090461B2 (en) 2013-04-30 2015-07-28 Hewlett-Packard Development Company, L.P. Temporary optical wave diffusion-promoting film adhered to lidded MEMS wafer for testing using interferometer
US9040386B2 (en) * 2013-07-23 2015-05-26 Maxim Integrated Products, Inc. Method for varied topographic MEMS cap process
US9246592B2 (en) * 2013-08-19 2016-01-26 International Business Machines Corporation Structured substrate for optical fiber alignment
CN103454052B (en) * 2013-08-29 2017-03-01 上海华虹宏力半导体制造有限公司 MEMS and the measuring method of wafer level encapsulant
US9810641B2 (en) * 2013-09-03 2017-11-07 Kulicke & Soffa Industries, Inc. Systems and methods for measuring physical characteristics of semiconductor device elements using structured light
CN103647004B (en) * 2013-11-28 2016-05-11 苏州百奥丽光电科技有限公司 The apparatus for baking of LED fluorescent tube
US10056294B2 (en) * 2013-12-02 2018-08-21 Maxim Integrated Products, Inc. Techniques for adhesive control between a substrate and a die
WO2015104715A1 (en) * 2014-01-13 2015-07-16 Corning Optical Communications Wireless Ltd. Dissipating heat from electronic devices
US9308596B2 (en) * 2014-01-17 2016-04-12 Alcatel Lucent Method and assembly including a connection between metal layers and a fusible material
JP6535347B2 (en) 2014-01-17 2019-06-26 ヌボトロニクス、インク. Wafer-scale test interface unit: Low loss and high isolation equipment and methods for high speed and high density mixed signal interconnects and contactors
US9389378B2 (en) 2014-02-03 2016-07-12 Luxtera, Inc. Method and system for optical power monitoring of a light source assembly coupled to a silicon photonically-enabled integrated circuit
US9563021B2 (en) 2014-03-17 2017-02-07 Lumentum Operations Llc Optical switching device
US9930793B2 (en) 2014-03-27 2018-03-27 Intel Corporation Electric circuit on flexible substrate
CN104948476B (en) * 2014-03-31 2020-06-02 台达电子工业股份有限公司 Thin fan, electronic system and manufacturing method of thin fan
US8999816B1 (en) * 2014-04-18 2015-04-07 Applied Materials, Inc. Pre-patterned dry laminate mask for wafer dicing processes
US10847469B2 (en) 2016-04-26 2020-11-24 Cubic Corporation CTE compensation for wafer-level and chip-scale packages and assemblies
WO2016094129A1 (en) 2014-12-03 2016-06-16 Nuvotronics, Inc. Systems and methods for manufacturing stacked circuits and transmission lines
US20160302335A1 (en) * 2015-04-10 2016-10-13 Mettler-Toledo Autochem, Inc. Precision mounting systems and methods
US9525863B2 (en) 2015-04-29 2016-12-20 Apple Inc. Time-of-flight depth mapping with flexible scan pattern
US9478494B1 (en) 2015-05-12 2016-10-25 Harris Corporation Digital data device interconnects
US9551831B1 (en) * 2015-05-18 2017-01-24 Alliance Fiber Optic Products, Inc. Pluggable LC adaptor having optical passive element
US9437911B1 (en) 2015-05-21 2016-09-06 Harris Corporation Compliant high speed interconnects
JP6661901B2 (en) * 2015-06-22 2020-03-11 住友電気工業株式会社 Optical module, method of manufacturing optical module
US10315915B2 (en) * 2015-07-02 2019-06-11 Kionix, Inc. Electronic systems with through-substrate interconnects and MEMS device
JP6551008B2 (en) * 2015-07-27 2019-07-31 住友電気工業株式会社 Optical module, optical device
JP6354704B2 (en) * 2015-08-25 2018-07-11 日亜化学工業株式会社 Optical member manufacturing method, semiconductor laser device manufacturing method, and semiconductor laser device
DE102015114292A1 (en) * 2015-08-27 2017-03-02 Osram Opto Semiconductors Gmbh Laser component and method for its production
JP6854242B2 (en) * 2015-10-20 2021-04-07 ヌヴォトンテクノロジージャパン株式会社 Light source device and floodlight device
CN105304586A (en) * 2015-11-20 2016-02-03 江阴长电先进封装有限公司 Chip embedded-type encapsulation structure with reinforcing structure and encapsulation method of same
JP6489001B2 (en) * 2015-12-09 2019-03-27 住友電気工業株式会社 Optical module, method for manufacturing optical module, and optical apparatus
US10120133B2 (en) * 2015-12-18 2018-11-06 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
US10324171B2 (en) 2015-12-20 2019-06-18 Apple Inc. Light detection and ranging sensor
US9773764B2 (en) * 2015-12-22 2017-09-26 Intel Corporation Solid state device miniaturization
US9638859B1 (en) 2016-01-12 2017-05-02 Elenion Technologies, Llc Optical fiber alignment device
US10234626B2 (en) * 2016-02-08 2019-03-19 Skorpios Technologies, Inc. Stepped optical bridge for connecting semiconductor waveguides
FR3047842B1 (en) * 2016-02-12 2018-05-18 Commissariat A L'energie Atomique Et Aux Energies Alternatives ELECTRONIC COMPONENT WITH METALLIC RESISTANCE SUSPENDED IN A CLOSED CAVITY
JP6636377B2 (en) * 2016-04-08 2020-01-29 株式会社ディスコ Package wafer manufacturing method and device chip manufacturing method
US10453760B2 (en) * 2016-04-08 2019-10-22 Advanced Semiconductor Engineering, Inc. Lid array panel, package with lid and method of making the same
US10862264B2 (en) * 2016-04-18 2020-12-08 Kyocera Corporation Light-emitting element housing member, array member, and light-emitting device
KR101843469B1 (en) 2016-04-19 2018-03-30 옵티시스 주식회사 Optical connector
US9684141B1 (en) * 2016-04-25 2017-06-20 Applied Optoelectronics, Inc. Techniques for reducing ingress of foreign matter into an optical subassembly
JP6465449B2 (en) * 2016-05-23 2019-02-06 オリンパス株式会社 Optical signal transmission module
WO2017203785A1 (en) * 2016-05-23 2017-11-30 オリンパス株式会社 Optical signal transmission module
US10082271B2 (en) 2016-06-29 2018-09-25 Nichia Corporation Laser light optical module utilizing reflective films for improved efficiency
US10168555B1 (en) 2016-06-30 2019-01-01 Google Llc Wiring in a head-mountable device
US10298913B2 (en) 2016-08-18 2019-05-21 Apple Inc. Standalone depth camera
US10539815B2 (en) 2016-09-22 2020-01-21 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
US9835801B1 (en) 2016-09-22 2017-12-05 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
KR101929465B1 (en) * 2016-10-18 2019-03-14 주식회사 옵텔라 Optical module
IL305682A (en) 2016-12-22 2023-11-01 Magic Leap Inc Methods and systems for fabrication of shaped fiber elements for scanning fiber displays
US10782315B2 (en) 2017-04-17 2020-09-22 Rosemount Aerospace Inc. Apparatus and method for packaging, handling or testing of sensors
US10193302B2 (en) * 2017-05-10 2019-01-29 Applied Optoelectronics, Inc. Light engine with integrated turning mirror for direct coupling to photonically-enabled complementary metal-oxide semiconductor (CMOS) die
US10209477B1 (en) * 2017-05-25 2019-02-19 Lockheed Martin Coherent Technologies, Inc. Systems and methods for reconfigurable micro-optic assemblies
WO2019025858A1 (en) * 2017-08-01 2019-02-07 Rockley Photonics Limited Module with transmit optical subassemby and receive optical subassembly
JP6958098B2 (en) * 2017-08-10 2021-11-02 住友電気工業株式会社 Optical module
CN107422433A (en) * 2017-09-08 2017-12-01 中航海信光电技术有限公司 PSM photoelectric transceiver integrated with multiple optical ports
RU181835U1 (en) * 2017-09-14 2018-07-26 Российская Федерация, от имени которой выступает ФОНД ПЕРСПЕКТИВНЫХ ИССЛЕДОВАНИЙ Protective coatings for various purposes of installation of sensors on the surface of the structure
US10634717B2 (en) * 2017-09-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Testing apparatus and testing method
US10514511B2 (en) * 2017-11-02 2019-12-24 Panduit Corp. Optical fiber termination using a reference source
US10319654B1 (en) 2017-12-01 2019-06-11 Cubic Corporation Integrated chip scale packages
US10921447B2 (en) 2018-01-29 2021-02-16 Rohm Co., Ltd. Control circuit of light emitting and receiving device
CN108323151B (en) * 2018-01-29 2023-12-19 广东利元亨智能装备有限公司 Automatic assembly production line for smoke alarms
US20190278036A1 (en) * 2018-03-07 2019-09-12 Lightwave Logic Inc. Embedded hermetic capsule and method
US11427731B2 (en) 2018-03-23 2022-08-30 Teledyne Micralyne, Inc. Adhesive silicon oxynitride film
GB201808936D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808890D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808912D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808894D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Mass spectrometer
GB201808892D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Mass spectrometer
GB201808949D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
US11373849B2 (en) 2018-05-31 2022-06-28 Micromass Uk Limited Mass spectrometer having fragmentation region
GB2576077B (en) 2018-05-31 2021-12-01 Micromass Ltd Mass spectrometer
US11036005B2 (en) * 2018-08-06 2021-06-15 Rockley Photonics Limited Method for III-V/silicon hybrid integration
US11378762B2 (en) 2018-08-06 2022-07-05 Rockley Photonics Limited Method for III-V/silicon hybrid integration
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
US10712500B2 (en) * 2018-10-17 2020-07-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
CN108957649B (en) * 2018-10-17 2023-08-22 四川光恒通信技术有限公司 Double-receiving double-transmitting box type sealing packaging optical device with parallel light structure
US11075173B2 (en) * 2018-10-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
RU2698561C1 (en) * 2018-12-03 2019-08-28 Федеральное государственное бюджетное образовательное учреждение высшего образования "Саратовский национальный исследовательский государственный университет имени Н.Г. Чернышевского" Microwave photon crystal
KR102052737B1 (en) * 2018-12-15 2020-01-08 김현철 Manufacturing method of pocket carrier plate for burn-in test apparatus and Jig assembly for burn-in test apparatus including the pocket carrier plate
RU187926U1 (en) * 2019-01-10 2019-03-25 Закрытое акционерное общество "ГРУППА КРЕМНИЙ ЭЛ" SEMICONDUCTOR OUTPUT FRAME
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
IT201900006740A1 (en) 2019-05-10 2020-11-10 Applied Materials Inc SUBSTRATE STRUCTURING PROCEDURES
IT201900006736A1 (en) 2019-05-10 2020-11-10 Applied Materials Inc PACKAGE MANUFACTURING PROCEDURES
CN113841075B (en) * 2019-05-13 2023-01-06 利派克株式会社 Connector plug and active optical cable assembly using same
CN112114207A (en) * 2019-06-19 2020-12-22 泰克元有限公司 Test board and test chamber
CN114026751A (en) * 2019-07-02 2022-02-08 艾尤纳公司 Laser engine supporting multiple laser sources
US11258229B2 (en) * 2019-08-16 2022-02-22 Cisco Technology, Inc. Thermal gel application on electronic and optical components
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
CN113410747B (en) * 2020-03-17 2022-07-12 潍坊华光光电子有限公司 Device and method for quickly repairing pins of semiconductor laser
EP4136719A1 (en) * 2020-04-15 2023-02-22 Excelitas Canada, Inc. Hermetic surface mount package for semiconductor side emitting laser and method forming same
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
CN114077016B (en) * 2020-08-11 2023-09-01 美国莫列斯有限公司 Package structure with photonic integrated circuit
TWI725908B (en) * 2020-08-18 2021-04-21 合晶科技股份有限公司 Fabricating method of semipolar gallium nitride
US11686906B1 (en) * 2020-10-12 2023-06-27 Poet Technologies, Inc. Self-aligned structure and method on interposer-based PIC
CN112504574A (en) * 2020-10-28 2021-03-16 北京中科科仪股份有限公司 Detection tool and detection system of diaphragm adjusting device
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
JP2022094172A (en) * 2020-12-14 2022-06-24 パナソニックIpマネジメント株式会社 Light-emitting device, manufacturing method, and waveguide structure
US11474301B2 (en) * 2021-01-07 2022-10-18 Advanced Semiconductor Engineering, Inc. Device for communication
CN113295382B (en) * 2021-04-29 2022-06-10 成都新锐鑫光通信技术有限公司 Optical fiber connector capable of carrying out self-adaptive detection
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11502757B1 (en) * 2021-07-28 2022-11-15 QuantumZ Inc. Method of manufacturing device with optical component disposed thereon and transmission device manufactured by the same
CN114705229A (en) * 2022-03-29 2022-07-05 深圳市联创杰科技有限公司 Substrate-adjustable optical fiber FP composite temperature and humidity sensor chip based on sensitive material
CN115767948A (en) * 2022-11-14 2023-03-07 北京自动化控制设备研究所 High-density low-stress integration method for MEMS (micro-electromechanical systems) inertial system
CN117103697A (en) * 2023-10-20 2023-11-24 成都光创联科技有限公司 Production method of airtight optical device

Family Cites Families (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US73145A (en) 1868-01-07 wellman
US731445A (en) * 1902-09-16 1903-06-23 Willis M Esterly Laundry clothes-drier.
GB2136203B (en) 1983-03-02 1986-10-15 Standard Telephones Cables Ltd Through-wafer integrated circuit connections
US4771630A (en) * 1985-12-20 1988-09-20 Warner-Lambert Company Method and apparatus for testing hermetic seal integrity of sealed packages and containers
JPH0620705Y2 (en) 1987-09-29 1994-06-01 油谷重工株式会社 Interior material mounting structure
US4897711A (en) * 1988-03-03 1990-01-30 American Telephone And Telegraph Company Subassembly for optoelectronic devices
US5082339A (en) * 1988-07-11 1992-01-21 Telefunken Electronic Gmbh Optical read-write head with diffraction grating structure
WO1990001215A1 (en) 1988-07-22 1990-02-08 Nippondenso Co., Ltd. Semiconductor device
JPH0253007A (en) * 1988-08-18 1990-02-22 Matsushita Electric Ind Co Ltd Coupling method for semicodnuctor and optical fiber
US4893499A (en) 1988-12-05 1990-01-16 Unisys Corporation Method and apparatus for detecting leaks in IC packages by sensing package deflections
JPH0620930Y2 (en) 1989-01-17 1994-06-01 栃木富士産業株式会社 Slip limited differential
JPH0766982B2 (en) * 1989-03-29 1995-07-19 シャープ株式会社 Wavelength selective light receiving element
WO1990014607A1 (en) 1989-05-19 1990-11-29 E.I. Du Pont De Nemours And Company Housing for an opto-electronic device
JPH0620766Y2 (en) 1989-06-16 1994-06-01 忠 庄司 Suction tool
US4961821A (en) 1989-11-22 1990-10-09 Xerox Corporation Ode through holes and butt edges without edge dicing
US5032897A (en) * 1990-02-28 1991-07-16 International Business Machines Corp. Integrated thermoelectric cooling
DE4010193C3 (en) * 1990-03-30 1998-03-26 Rheinmetall Ind Ag PCB for a power electronics circuit
JPH04782A (en) * 1990-04-18 1992-01-06 Nec Corp Package for semiconductor laser
DE4012396A1 (en) * 1990-04-19 1991-10-31 Roland Man Druckmasch PRINTING MACHINE
US5201987A (en) 1990-06-04 1993-04-13 Xerox Corporation Fabricating method for silicon structures
JPH0448674A (en) 1990-06-14 1992-02-18 Rohm Co Ltd Semiconductor laser
US5113404A (en) 1990-07-05 1992-05-12 At&T Bell Laboratories Silicon-based optical subassembly
US5082366A (en) 1990-08-30 1992-01-21 Laser Technology, Inc. Apparatus and method for detecting leaks in packages
US5080353A (en) * 1990-11-08 1992-01-14 Lee Tench Aerobic exercise chair
EP0512186A1 (en) * 1991-05-03 1992-11-11 International Business Machines Corporation Cooling structures and package modules for semiconductors
JP3143493B2 (en) * 1991-06-21 2001-03-07 キヤノン株式会社 Display control device
US5327443A (en) * 1991-10-30 1994-07-05 Rohm Co., Ltd. Package-type semiconductor laser device
US5259054A (en) 1992-01-10 1993-11-02 At&T Bell Laboratories Self-aligned optical subassembly
JP3235256B2 (en) * 1992-03-31 2001-12-04 凸版印刷株式会社 Manufacturing method of membrane and membrane
JP3398165B2 (en) 1992-06-30 2003-04-21 日立マクセル株式会社 Inorganic non-aqueous electrolyte battery
JP3198628B2 (en) 1992-07-07 2001-08-13 松下電器産業株式会社 Cordless equipment
US5231686A (en) 1992-07-17 1993-07-27 Honeywell Inc. Optical connector configured to facilitate active alignment of a photoelectric device with an optical fiber
JPH0786693B2 (en) 1992-08-31 1995-09-20 スタンレー電気株式会社 Electrophotographic photoconductor
DE4232327A1 (en) 1992-09-26 1994-03-31 Sel Alcatel Ag Semiconductor laser module
JPH06149483A (en) 1992-11-13 1994-05-27 Ricoh Co Ltd Computer system
JPH0786693A (en) * 1993-09-16 1995-03-31 Toshiba Corp Optical semiconductor module
US5412748A (en) * 1992-12-04 1995-05-02 Kabushiki Kaisha Toshiba Optical semiconductor module
US5351163A (en) * 1992-12-30 1994-09-27 Westinghouse Electric Corporation High Q monolithic MIM capacitor
US5291572A (en) * 1993-01-14 1994-03-01 At&T Bell Laboratories Article comprising compression bonded parts
US5308442A (en) 1993-01-25 1994-05-03 Hewlett-Packard Company Anisotropically etched ink fill slots in silicon
US5448014A (en) * 1993-01-27 1995-09-05 Trw Inc. Mass simultaneous sealing and electrical connection of electronic devices
CN1094450A (en) 1993-04-28 1994-11-02 德拉费有限公司 Methods for alloy migration sintering
US5390271A (en) * 1993-05-03 1995-02-14 Litton Systems, Inc. Optical interface for hybrid circuit
US5432998A (en) 1993-07-27 1995-07-18 International Business Machines, Corporation Method of solder bonding processor package
KR970005712B1 (en) * 1994-01-11 1997-04-19 삼성전자 주식회사 High heat sink package
US5479540A (en) * 1994-06-30 1995-12-26 The Whitaker Corporation Passively aligned bi-directional optoelectronic transceiver module assembly
US5542602A (en) 1994-12-30 1996-08-06 International Business Machines Corporation Stabilization of conductive adhesive by metallurgical bonding
JP3343875B2 (en) 1995-06-30 2002-11-11 キヤノン株式会社 Method of manufacturing inkjet head
US5881193A (en) 1995-12-22 1999-03-09 Lucent Technologies Inc. Low profile optical subassembly
US5812717A (en) 1996-01-18 1998-09-22 Methode Electronics, Inc. Optical package with alignment means and method of assembling an optical package
JPH10115556A (en) * 1996-10-11 1998-05-06 Mitsubishi Electric Corp Infrared detector
DE19616969A1 (en) 1996-04-27 1997-10-30 Bosch Gmbh Robert Optical assembly for coupling an optical waveguide and method for producing the same
JPH09307122A (en) * 1996-05-17 1997-11-28 Shinko Electric Ind Co Ltd Photocell module
JPH09318849A (en) * 1996-05-24 1997-12-12 Fujitsu Ltd Optical transmission module and its production
US5891354A (en) 1996-07-26 1999-04-06 Fujitsu Limited Methods of etching through wafers and substrates with a composite etch stop layer
US6354747B1 (en) * 1996-08-26 2002-03-12 Sumitomo Electric Industries, Ltd. Optical module
US5798557A (en) * 1996-08-29 1998-08-25 Harris Corporation Lid wafer bond packaging and micromachining
AU4602597A (en) 1996-09-30 1998-04-24 Whitaker Corporation, The Fiber optic array transmitter/receiver based on flexible circuit technology
DE19643717A1 (en) * 1996-10-23 1998-04-30 Asea Brown Boveri Liquid cooling device for a high-performance semiconductor module
DE19645636C1 (en) * 1996-11-06 1998-03-12 Telefunken Microelectron Power module for operating electric motor with speed and power control
US5740261A (en) 1996-11-21 1998-04-14 Knowles Electronics, Inc. Miniature silicon condenser microphone
JP3359517B2 (en) * 1996-12-13 2002-12-24 京セラ株式会社 Optical module
SE511377C2 (en) * 1996-12-19 1999-09-20 Ericsson Telefon Ab L M via structure
US5997890A (en) 1997-05-23 1999-12-07 The Procter & Gamble Company Skin care compositions and method of improving skin appearance
US6133631A (en) * 1997-05-30 2000-10-17 Hewlett-Packard Company Semiconductor package lid with internal heat pipe
EP0895111A1 (en) 1997-07-22 1999-02-03 Lucent Technologies Inc. Bonding of aluminum oxide components to silicon substrates
JPH1186312A (en) 1997-08-29 1999-03-30 Mitsumi Electric Co Ltd Optical pickup
US6180261B1 (en) * 1997-10-21 2001-01-30 Nitto Denko Corporation Low thermal expansion circuit board and multilayer wiring circuit board
US5990560A (en) 1997-10-22 1999-11-23 Lucent Technologies Inc. Method and compositions for achieving a kinetically controlled solder bond
FR2770339B1 (en) * 1997-10-27 2003-06-13 Commissariat Energie Atomique STRUCTURE HAVING FORMED ELECTRIC CONTACTS THROUGH THE SUBSTRATE OF THIS STRUCTURE AND METHOD OF OBTAINING SUCH A STRUCTURE
US6167751B1 (en) 1997-11-26 2001-01-02 Thermedics Detection, Inc. Leak analysis
EP0926723B1 (en) * 1997-11-26 2007-01-17 STMicroelectronics S.r.l. Process for forming front-back through contacts in micro-integrated electronic devices
US5899836A (en) * 1998-01-08 1999-05-04 Chen; Paul Exerciser for pulling and stepping exercises
US6036872A (en) 1998-03-31 2000-03-14 Honeywell Inc. Method for making a wafer-pair having sealed chambers
US6359333B1 (en) 1998-03-31 2002-03-19 Honeywell International Inc. Wafer-pair having deposited layer sealed chambers
JPH11295560A (en) 1998-04-09 1999-10-29 Matsushita Electric Ind Co Ltd Module for optical communication and inspection method therefor
DE19823691A1 (en) * 1998-05-27 1999-12-02 Siemens Ag Housing arrangement for laser module
US6196358B1 (en) 1998-07-08 2001-03-06 Shimano Inc. Detachable fluid cooling system for bicycle disc brake
US6110081A (en) * 1998-08-06 2000-08-29 Barrett; Marilyn Portable resistance-based exercise apparatus
US6342442B1 (en) 1998-11-20 2002-01-29 Agere Systems Guardian Corp. Kinetically controlled solder bonding
WO2000031771A1 (en) 1998-11-25 2000-06-02 Act Micro Devices Optoelectronic module and method of making same
US7208725B2 (en) 1998-11-25 2007-04-24 Rohm And Haas Electronic Materials Llc Optoelectronic component with encapsulant
US20030034438A1 (en) 1998-11-25 2003-02-20 Sherrer David W. Optoelectronic device-optical fiber connector having micromachined pit for passive alignment of the optoelectronic device
EP1061578A4 (en) 1998-12-16 2001-07-18 Seiko Epson Corp Semiconductor chip, semiconductor device, circuit board and electronic equipment and production methods for them
US6094919A (en) * 1999-01-04 2000-08-01 Intel Corporation Package with integrated thermoelectric module for cooling of integrated circuits
US6227724B1 (en) * 1999-01-11 2001-05-08 Lightlogic, Inc. Method for constructing an optoelectronic assembly
US6221769B1 (en) 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
JP3567793B2 (en) * 1999-04-27 2004-09-22 オムロン株式会社 Method for manufacturing semiconductor device
US6275513B1 (en) * 1999-06-04 2001-08-14 Bandwidth 9 Hermetically sealed semiconductor laser device
US6228675B1 (en) * 1999-07-23 2001-05-08 Agilent Technologies, Inc. Microcap wafer-level package with vias
US6522762B1 (en) 1999-09-07 2003-02-18 Microtronic A/S Silicon-based sensor system
US6091603A (en) * 1999-09-30 2000-07-18 International Business Machines Corporation Customizable lid for improved thermal performance of modules using flip chips
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
JP2001174671A (en) * 1999-12-16 2001-06-29 Japan Aviation Electronics Industry Ltd Optical element module
US6468891B2 (en) 2000-02-24 2002-10-22 Micron Technology, Inc. Stereolithographically fabricated conductive elements, semiconductor device components and assemblies including such conductive elements, and methods
US6351027B1 (en) * 2000-02-29 2002-02-26 Agilent Technologies, Inc. Chip-mounted enclosure
JP3518491B2 (en) 2000-06-26 2004-04-12 株式会社日立製作所 Optical coupling device
JP4134499B2 (en) * 2000-08-07 2008-08-20 住友電気工業株式会社 Optical device
AU7266100A (en) * 2000-09-26 2000-12-18 Martin Lehmann Method and apparatus for leak testing closed containers
US7345316B2 (en) 2000-10-25 2008-03-18 Shipley Company, L.L.C. Wafer level packaging for optoelectronic devices
US6932519B2 (en) 2000-11-16 2005-08-23 Shipley Company, L.L.C. Optical device package
JP3704467B2 (en) * 2000-12-05 2005-10-12 松下電器産業株式会社 Manufacturing method of semiconductor device
AUPR245701A0 (en) * 2001-01-10 2001-02-01 Silverbrook Research Pty Ltd An apparatus (WSM10)
JP4092914B2 (en) * 2001-01-26 2008-05-28 セイコーエプソン株式会社 MASK MANUFACTURING METHOD, ORGANIC ELECTROLUMINESCENT DEVICE MANUFACTURING METHOD
KR100396551B1 (en) * 2001-02-03 2003-09-03 삼성전자주식회사 Wafer level hermetic sealing method
US6894903B2 (en) * 2001-02-28 2005-05-17 Sumitomo Electric Industries, Ltd. Optical data link
US6358066B1 (en) 2001-02-28 2002-03-19 Stratos Lightwave, Inc. Surface mountable transceiver
US6477056B1 (en) * 2001-03-12 2002-11-05 Phillip J. Edwards Optoelectric mounting and interconnect apparatus
JP4000782B2 (en) 2001-03-22 2007-10-31 株式会社ジェイテクト Electric power steering device
JP2002341189A (en) * 2001-05-17 2002-11-27 Hitachi Ltd Optical module
JP4583662B2 (en) 2001-06-01 2010-11-17 三菱電機株式会社 Optical module package and manufacturing method thereof
JP3519720B2 (en) * 2001-06-11 2004-04-19 松下電器産業株式会社 Electronic device
US6890834B2 (en) * 2001-06-11 2005-05-10 Matsushita Electric Industrial Co., Ltd. Electronic device and method for manufacturing the same
US6776623B1 (en) 2001-06-11 2004-08-17 Picolight Incorporated Transceiver mounting adapters
JP4703061B2 (en) * 2001-08-30 2011-06-15 富士通株式会社 Thin film circuit board manufacturing method and via forming board forming method
US6452798B1 (en) * 2001-09-12 2002-09-17 Harris Corporation Electronic module including a cooling substrate having a fluid cooling circuit therein and related methods
US6818464B2 (en) * 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
US6611052B2 (en) * 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
WO2003046640A1 (en) 2001-11-27 2003-06-05 Matsushita Electric Industrial Co., Ltd. Single-panel projection type image display apparatus
EP1456702A1 (en) * 2001-11-28 2004-09-15 Aegis Semiconductor, Inc. Package for electro-optical components
KR100442830B1 (en) * 2001-12-04 2004-08-02 삼성전자주식회사 Low temperature hermetic sealing method having a passivation layer
US7006426B2 (en) * 2001-12-18 2006-02-28 Stmicroelectronics, Inc. Integrated optical unit for use with miniature optical discs
GB2384554A (en) 2002-01-25 2003-07-30 Sepha Ltd Detecting leaks from sealed packages
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6746158B2 (en) 2002-01-31 2004-06-08 Agilent Technologies, Inc. Pull detach mechanism for fiber optic transceiver module
US20030161603A1 (en) 2002-02-27 2003-08-28 Nadeau Mary J. Receiver optical bench formed using passive alignment
US20030161363A1 (en) 2002-02-27 2003-08-28 Optronx, Inc. Optical transmitter and transponder that operate without thermoelectric cooler
US20030161133A1 (en) 2002-02-27 2003-08-28 Optronx Optical transmitter header having passive electrical component
US6646777B2 (en) * 2002-02-27 2003-11-11 Jds Uniphase Corporation Optical isolator with improved mounting characteristics
US6773532B2 (en) * 2002-02-27 2004-08-10 Jds Uniphase Corporation Method for improving heat dissipation in optical transmitter
US6916122B2 (en) 2002-03-05 2005-07-12 Jds Uniphase Corporation Modular heat sinks
US6962834B2 (en) * 2002-03-22 2005-11-08 Stark David H Wafer-level hermetic micro-device packages
US7026223B2 (en) * 2002-03-28 2006-04-11 M/A-Com, Inc Hermetic electric component package
JP2003318178A (en) * 2002-04-24 2003-11-07 Seiko Epson Corp Semiconductor device, its manufacturing method, circuit board, and electronic apparatus
JP2003046014A (en) * 2002-04-25 2003-02-14 Hitachi Kokusai Electric Inc Method of hermetically sealing element
US6869231B2 (en) * 2002-05-01 2005-03-22 Jds Uniphase Corporation Transmitters, receivers, and transceivers including an optical bench
TWI229435B (en) * 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US6952046B2 (en) * 2002-06-19 2005-10-04 Foster-Miller, Inc. Electronic and optoelectronic component packaging technique
US7090412B2 (en) * 2002-08-02 2006-08-15 Sumitomo Electric Industries, Ltd. Optical module
WO2004025239A2 (en) 2002-08-29 2004-03-25 Norcom Systems Inc. System and process for detecting leaks in sealed articles
US7138293B2 (en) * 2002-10-04 2006-11-21 Dalsa Semiconductor Inc. Wafer level packaging technique for microdevices
US6929974B2 (en) * 2002-10-18 2005-08-16 Motorola, Inc. Feedthrough design and method for a hermetically sealed microdevice
US20050250253A1 (en) 2002-10-23 2005-11-10 Cheung Kin P Processes for hermetically packaging wafer level microscopic structures
US20040091268A1 (en) 2002-11-01 2004-05-13 Jds Uniphase Corporation Transmitter optical sub-assembly
US6969204B2 (en) * 2002-11-26 2005-11-29 Hymite A/S Optical package with an integrated lens and optical assemblies incorporating the package
JP4072677B2 (en) * 2003-01-15 2008-04-09 セイコーエプソン株式会社 Semiconductor chip, semiconductor wafer, semiconductor device and manufacturing method thereof, circuit board, and electronic equipment
JP2004235440A (en) * 2003-01-30 2004-08-19 Mitsubishi Electric Corp Micro-package and its manufacturing method
JP2004267167A (en) * 2003-03-12 2004-09-30 Kanagawa Prefecture Method for collecting aged epithelial stem cell
JP4617636B2 (en) 2003-03-19 2011-01-26 住友電気工業株式会社 Optical module
US6860652B2 (en) * 2003-05-23 2005-03-01 Intel Corporation Package for housing an optoelectronic assembly
JP2005055670A (en) * 2003-08-04 2005-03-03 Seiko Epson Corp Mems device, method of manufacturing the same, and mems module
EP1517166B1 (en) * 2003-09-15 2015-10-21 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US20050063431A1 (en) * 2003-09-19 2005-03-24 Gallup Kendra J. Integrated optics and electronics
SG120123A1 (en) * 2003-09-30 2006-03-28 Micron Technology Inc Castellated chip-scale packages and methods for fabricating the same
US6992887B2 (en) * 2003-10-15 2006-01-31 Visteon Global Technologies, Inc. Liquid cooled semiconductor device
US7160039B2 (en) 2004-01-26 2007-01-09 Jds Uniphase Corporation Compact optical sub-assembly with integrated flexible circuit
US20070278666A1 (en) 2004-04-13 2007-12-06 Jean-Charles Garcia Method for Production of Electronic and Optoelectronic Circuits
US7410307B2 (en) 2004-06-04 2008-08-12 Finisar Corporation Modular optical device package compatible with multiple fiber connectors
US8244085B2 (en) 2004-07-02 2012-08-14 Finisar Corporation Optical transceiver interface for multimode fibers
WO2006030315A1 (en) * 2004-09-13 2006-03-23 Hymite A/S Optical module hermetically packaged in micro-machined structures
US7081408B2 (en) * 2004-10-28 2006-07-25 Intel Corporation Method of creating a tapered via using a receding mask and resulting structure
KR100618343B1 (en) * 2004-10-28 2006-08-31 삼성전자주식회사 Packaging substrate fabrication method and packaging method thereof
US7149405B2 (en) 2004-10-29 2006-12-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Electro-optical subassemblies and method for assembly thereof
US7553695B2 (en) 2005-03-17 2009-06-30 Hymite A/S Method of fabricating a package for a micro component
CA2548857C (en) 2005-06-01 2015-01-06 Rohm And Haas Electronic Materials Llc Optical assemblies
US7280181B2 (en) 2005-06-30 2007-10-09 Intel Corporation Liquid crystal polymer optical filter carrier
JP5114017B2 (en) * 2006-05-11 2013-01-09 オリンパス株式会社 Semiconductor device and method for manufacturing the same
US7531445B2 (en) * 2006-09-26 2009-05-12 Hymite A/S Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
JP4919984B2 (en) * 2007-02-25 2012-04-18 サムスン エレクトロニクス カンパニー リミテッド Electronic device package and method for forming the same
KR101538648B1 (en) * 2007-07-31 2015-07-22 인벤사스 코포레이션 Semiconductor packaging process using through silicon vias
DE102009018603B9 (en) * 2008-04-25 2021-01-14 Samsung Electronics Co., Ltd. Lighting device and manufacturing method thereof
US7704796B2 (en) * 2008-06-04 2010-04-27 Stats Chippac, Ltd. Semiconductor device and method of forming recessed conductive vias in saw streets

Also Published As

Publication number Publication date
US20140226690A1 (en) 2014-08-14
CN100378938C (en) 2008-04-02
US8993450B2 (en) 2015-03-31
CN1655342A (en) 2005-08-17
EP1517166A2 (en) 2005-03-23
US20160344159A1 (en) 2016-11-24
KR101131654B1 (en) 2012-03-28
CA2481637C (en) 2014-05-13
EP1515364A3 (en) 2006-05-10
US20070072321A1 (en) 2007-03-29
CA2884972A1 (en) 2005-03-15
TWI241722B (en) 2005-10-11
CN100539127C (en) 2009-09-09
TW200522380A (en) 2005-07-01
CN100386867C (en) 2008-05-07
CA2843395A1 (en) 2005-03-15
KR20050027960A (en) 2005-03-21
EP1515364B1 (en) 2016-04-13
JP5002123B2 (en) 2012-08-15
US20170235066A1 (en) 2017-08-17
US7508065B2 (en) 2009-03-24
JP2012147007A (en) 2012-08-02
TWI253761B (en) 2006-04-21
TW200518354A (en) 2005-06-01
US20180074272A1 (en) 2018-03-15
EP1517166A3 (en) 2005-07-06
KR20110119594A (en) 2011-11-02
US20050110157A1 (en) 2005-05-26
CA2884972C (en) 2016-11-01
KR101146019B1 (en) 2012-05-15
US9647420B2 (en) 2017-05-09
US20070164419A1 (en) 2007-07-19
EP2937897A2 (en) 2015-10-28
US9410799B2 (en) 2016-08-09
CA2793031A1 (en) 2005-03-15
CN101083255A (en) 2007-12-05
CN101079387A (en) 2007-11-28
US20070040268A1 (en) 2007-02-22
EP1517166B1 (en) 2015-10-21
US9817199B2 (en) 2017-11-14
US7888793B2 (en) 2011-02-15
KR20120042811A (en) 2012-05-03
US20150184998A1 (en) 2015-07-02
JP4911885B2 (en) 2012-04-04
KR101264048B1 (en) 2013-05-21
JP2005175431A (en) 2005-06-30
JP2005136384A (en) 2005-05-26
CA2793031C (en) 2015-06-02
US7449784B2 (en) 2008-11-11
KR20050027959A (en) 2005-03-21
CA2481616A1 (en) 2005-03-15
CA2481616C (en) 2013-01-08
CN1649117A (en) 2005-08-03
KR101194532B1 (en) 2012-10-24
EP2937897A3 (en) 2016-03-23
US7129163B2 (en) 2006-10-31
CA2843395C (en) 2016-08-16
US7329056B2 (en) 2008-02-12
US20110079893A1 (en) 2011-04-07
EP1515364A2 (en) 2005-03-16
CN100511624C (en) 2009-07-08
US20050111797A1 (en) 2005-05-26
US8703603B2 (en) 2014-04-22

Similar Documents

Publication Publication Date Title
US9817199B2 (en) Device package and methods for the fabrication and testing thereof

Legal Events

Date Code Title Description
EEER Examination request
MKLA Lapsed

Effective date: 20200915