CA2191458A1 - Low temperature plasma-enhanced formation of integrated circuits - Google Patents

Low temperature plasma-enhanced formation of integrated circuits

Info

Publication number
CA2191458A1
CA2191458A1 CA002191458A CA2191458A CA2191458A1 CA 2191458 A1 CA2191458 A1 CA 2191458A1 CA 002191458 A CA002191458 A CA 002191458A CA 2191458 A CA2191458 A CA 2191458A CA 2191458 A1 CA2191458 A1 CA 2191458A1
Authority
CA
Canada
Prior art keywords
titanium
plasma
substrate
deposition
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002191458A
Other languages
French (fr)
Inventor
Robert F. Foster
Joseph T. Hillman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CA2191458A1 publication Critical patent/CA2191458A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Using plasma enhanced chemical vapor deposition, various layers (29) can be deposited on semiconductor substrates (28) at low temperatures in the same reactor. When a titanium nitride film is required, a titanium film can be initially deposited using a plasma enhanced chemical vapor deposition wherein the plasma is created within 25 mm of the substrate surface, supplying a uniform plasma across the surface. The deposited film can be subjected to an ammonia anneal, again using a plasma of ammonia created within 25 mm of the substrate (28) surface, followed by the plasma enhanced chemical vapor deposition of titanium nitride by creating a plasma of titanium tetrachloride and ammonia within 25 mm of the substrate surface. This permits deposition film and annealing at relatively low temperatures less than 800° C. When titanium is so deposited over a silicon surface, titanium silicide will form at the juncture which then can be nitrided and coated with titanium or titanium nitride using the plasma enhanced chemical vapor deposition of the present invention. Thus, the present method permits the formation of multiple layers of titanium, titanium nitride, titanium silicide over the surface of the substrate in the same reactor (20).

Description

~ W0 95133865 21~1~ 5 8 r~ 27 , LOW TEMPERATURE PLASMA-ENHANCED FORMATION
OF INTEGRATED CIRCUITS
FIFI n OF THE INVENTION
This invention relste5 ~enerally to plasma c.~l,al)ced chemical vapor deposition li~ECVD) for applyin~ various film coatin~s to substrates. and more ~Fe 'y to ~ECVD conducted at a low effective d~p~ iol7 temperature.

~ACKGROUND OF THE INVENTION
In the formation of i~ dL~d circuits (IC's~, thin films co, ,i.lg metal elements are often deposited upon the surface of a substrate, such as a .~.."i~.ol,d-Jctor wafer. Thin films are deposited to provide conducting and ohmic contacts in the circuits and between the various deYices of an IC. For examp~e, a desired thin film mi~ht i7e appiied to the exposed surface of a contact or via hole on a semiconductor wafer, with the fiim passing through the insulative layers on the wafer to provide plugs of conductive material for the purpose of making i,~L~,uurln~L~liun5 across the insuiating ~ayers.

Wo 9~33865 2191 4 S 8 -2- r~l,u~ o 1127 ~
One well known process for de~v;,;li.,g thin metal films is chemical vapor dt:~G ,;liol~ (CVD) in which a thin film is deposited using chemical reactions between ,Yàrious deiJo;~ ol~ or reactant gases at the surface of the su~istrate. In CVD, reactant gases rlre pumped into proximity with a substrate inside a reaction chamber, and the gases sl Ihse~ r~ntly react at the substrate surface resulting in one or more reaction by-products which form a film on the substrate surface. Any by-products remaining after the d~pv .iLion are removed from the chamber. While CVD is a useful ~ for depositing films, many of the ~ iLivnal CVD ~u~,e:.3~s are basically thermal processes and require temperatures in excess of 1 000C in order to obtain the necessarY reactions. Such a db~,v ,ilion temperature is often far too high to be ~L '' "~/ useful in IC
rabl i~,c-Livn due to the effects that high temperatures have on various other aspects and layers of the electrical devices making up the IC.
Certain aspects of IC cu~-v~rl~ are degraded by exposure to the high temperatures normally related to Llau!iliulldl thermal CVD p,v~ssas. For example, at the device leYel of an IC, there are shallow diffusions of :._., .i.,o-~ductor dopants which form the junctions of the electrical deYices within the IC. The dopants are often initially diffused usin~ heat during a diffusion step, and therefore, the dopants will continue to diffuse when the IC is subjected to a hish temperature during CVD. Such further diffusion is u";l~i,dLI~ because it causes the junction of the deYice to shift, 21gl~58 woss/33s6~ 3 P~ O~I27 and thus alters the resulting electrical cll~.a~.lcli;.li~, of the IC.
Therefore, for certain IC devices, exposing the substrate to u,u~ ,;"g temperatures of greater than 800C is avoided, and the upper tempersture limit may be as low as 650C for other more temperatùre sensitive devices.
FUIL~ -IIIU~C~ such temperature ~ liul~s may become eYen more severe if thermal CVD is pc, rul-l,cd after metal i"lc" o,,,,cuLion or wiring has been applied to the IC. For example, many IC's utilize aluminum as an i,,lc,uù,,,,euliun metal. However, various U~ldC~ildUI~. voids and extrusions occur in aluminum when it is subjected to high p,uce~ g temperatures. Therefore, once ,..o,~ne, Li"g aluminum has been deposited onto an IC, thr~
maximum temperature to which it can be exposed is duulu,-illlaL~
500C, and the preferred upper temperature limit is 400C.
Therefore, as may be du~lc~ ialc-J, it is desirable during CVD
processes to maintain low deposition temperatures v~l,c,l_~a possible.
Consequently, the upper temperature limit to which a substrate must bc exposed precludes the use of some Lla~iliul,~l thermal CVD ~,u~esses which might uLllcl~rJ;-- be very usefui in fabricating IC's. Titanium and titanium nitride are used in a variety of IC _p~' ,s. It is frequently desired to form a titanium silicide contact layer over a silicon surface. This can be formed using chemical vapor depu~iLiûn of titanium onto the silicon surface. The , _ _ _ _ _ _ _ _ _ _ _ . .. . . .

W0 9St33865 2 ~ ~ ~ 4 5 8 ~ c sl27 ~
titanium silicide forms as the titanium is depo~it~l Further, in many a,," ~ s a titanium nitride barrier layer is required prior to deposition of certain metal conductors such as aluminum ortungsten.
Titanium nitride can be deposited by chemical vapor d~puaiLi~l,. The byproducts of the chemical vapor de~osiLi~n -- in particular, hydroqen chloride -- act to etch the titanium contact layer. Therefore, the titanium must be nitrided prior to titanium nitride chemical vapor i~:uuailiùl~.
Titanium nitride is frequently deposited onto aluminum as a contact layer. However, when titanium nitride is deposited onto aluminum, aluminum nitride is formed at the interface which acts as an insulator and impedes flow of current from one II~t:i " , layer to another. The titanium nitride is needed as an adhesion layer performing tungsten via plugs. To avoid this problem, a titanium layer is required to protect the aluminum and then permit sputter dep~ailion of the titanium nitride adhesion layer.
To sputter deposit a film, the target is ~ Ll iu~lly biased and ions from the p~asma are attracted to the target to bombard the target and dislodge target material particles. The particles then deposit Lll~",_~lv~ cumulatively as a film upon the substrate.
Titanium may be sputtered, for example, over a silicon substrate after various contacts or via openings are cut into a level of the substrate.
The substrate might then be heated to about 800C to ailow the silicon and titanium to alloy and fûrm a layer of titanium silicide (TiSi2~. After the deposilion of the titanium ~ayer, the excess titanium is etched away from the top surface of the substrate leaYing TiSi2 at the bottom of each contact or via. A metal i~L~-~onnection is then deposited direct~y over the TiSi2.
While physical sputtering provides deposition of a titanium film at a lower temperature, sputtering processes have various drawbacks. Sputtering normally yields very poor step coverage. Step coverage is defined as the ratio of film thickness on the bottom of a contact on a substrate wafer to the film thickness on the sides of the contact or the top surface of the substrate.
Consequently, to sputter deposit a predetermined amount of titanium at the bottom of a contact or via, a larger amount of the sputtered titanium must be deposited on the top surface of the substrate or the -~0 r~ ~~
sides of the contact. For example, in order to deposit a~200~film at Go- loo~ L
the bottom of a contact using sputtering, a~600A to 1 OOOA)fi~m layer may have to be deposited onto the top surface of the substrate or the sides of the con.act. Since the excess titanium has to be etched away, sputtering is wasteful and cost~y when depositing layers Crj"Ld; ~ 5 titanium.
Furthe~more, the step coverage of the contact with sputtering techniques decreases as the aspect ratio of the contact or via increases. The aspect ratio of a contact is defined as the ratio of contact depth to the width of the contact. Therefore, a thicker sputtered film must be deposited on the top or sides of a contact that W095133865 219I~58 r~ 27 is narrow and deep lhigh aspect ratio) in order to obtain a p2rticular film thickness at the bottom of the contact than would be necesssry with a shallow and wide contact (low aspect ratio~. In other words, for smaller device d;."~ iolls in an IC, cci"~ii,y~n~i~lg to h;gh as5pect ratio contacts and Yias, sputtering is even more ill~rriuiwll and wasteful. The decreased step coverage during sputter d6s~Jo~ ion over smaller devices results in an increased amount of titanium that must be deposited, thus i~ tse5~;llg the amount of titanium applied and etched away, i"c, tsasing the titanium deposition time, and increasing the etching time that is necessary to remove excess titanium. Ac~c~ld;.l51y, as IC device ~e~ esllicss continue to shrinkand aspect ratios increase, de, usiLion of titanium-co": , ,g layers by sputtering becomes very costly.
Further, sputter deprii,iliun requires the utilization of a separate reaction chamber. In ~ where a first film is deposited by chemica~ vapor depoi,ilion, which is the preferred methcd, follow2d by sputter dt:~,oi,iliull of a second film, two different chambers are required. This could then be followed by a third chamber where, for example, a metal layer would be sputter depocit~d. It is certainly ~ r~ ,d~l~. to minimize the transport ûf the substrate from one reaction chamber to another and to conduct as many reactions as possible in a single chamber.
One approach which has been utilized in CVD rilu~.e~
to ~ower the reaction temperature is to ionize one or more of the , .5 , _ _ _ _ _ ,, . . _ , . ,,,, _ _ -reacTant gases. Such a technique is generally referred to as plasma enhanced chemical vapor deposition ~PECVD) . However PECVD has not proven ~o be an efficient method for CVD.
GB Patent Application 2192196 describes a process for thermochemical surface treatment of materials in a reactive gas plasma. Combination~i of different alien elements may be provided in the treated surface. The processes may be followed by deposition of layers on the treated surfaces using Physical V2pour Deposition PVD or Chemical Vapour Deposition CVD.
Thin Solid Films, Vol. 230, No. 2, 10/Oa/93.
Lausanne (CH), p . 115-120 ; B . Kulakowska-Pawlak et al :
~ Spectroscopic investigations into plasma used for nitriding processes of steel and titanium~ describes treati~g the surfaces of steel or titanium articles by nitriding using d.c., r.f. or microwave discharges. In the experimental apparatus an electrode of 15 mm was employed Thin Solid Films, Vol. 139, No.~ 3, 02/06/86, Lausanne ~CH), p.247-260; M.~. Hilton et al: "Composition, morphology and mechanical properties of plasma-assisted chemically vapour-deposited TiN films on M2 tool Steel"
describes the deposition of a titanium nitride coating using either CVD or PVD. In the experimental apparatus on electrode spacing of l inch (25.4 mm) is provided.
Summar~f of the Invention It is an object of the present invention to provide a method oi chemical vapour deposition of films at low temperatures, generally less than 500 C. Further, it is an object of the present invention to provide for the chemical vapour deposition of different films in the same apparatus. These films would include titanium, tungsten and/or titanium nitride. Further, it is an object of the present invention to provide for a method of depositing these films onto a variety of substrates such as silicon, aluminurn and tungsten while, at the same time, avoiding many of the problems typically associated with multiple-layer deposition such as creation of shorts and/or 21gl458 -7a-production of undesirable high-resistance films.
A method of depositing a substrate in accordance with one aspect of the invention comprises forming a titanium layer on a surface of the substrate by creating a first plasma of a gas mixture, the gas mixture comprising titanium tetrahalide and hydrogen wherein the plasma is creased within about 25 mm of the surface, and nitriding the titanium layer by forming a second plasma from a gas selected from the group consisting of ammonia and nitrogen with 25 mm of the titanium layer, thereby forming a layer of titanium nitride.
A method of depositing a substrate in accordance with another aspect of the invention comprises subjecting a titanium surface to a first plasma wherein the p~asma is created from a gas selected from the group consisting of ammonia and nitrogen and wherein the plasma is created within 25 crn of the titanium surface, and forming a second plasma from a gas mixture within 25 mm of the surface wherein the gas mixture comprises titanium tetrahalide and a gas selected f:rom the group consisting of ammonia and nitrogen .
The objects and advantages of the present invention are provided by plasma-enhanced chemical vapor deposition of films onto substrates wherein the plasma is created in close proximity to the substrate surface. ~y creating the plasma within about 10 ..e"Li",~L~:, of the surface of the substrate, the plasma acts to very efficiently coat the substrate surface with the desired thin film.
More particularly, employing a showerhead RF electrode to create the plasma within 25 mm of the substrate surface permits an even plasma at a relatively low temperature permitting a wide 2191~8 variety of different combinations of films to be deposited upon a substrate. Further, incorporating a plasma-enhanced ammonia anneal provides further flexibility in depositing a variety of different films.
This wiil permit PECVD deposition of titanium onto a silicon surface to form titanium silicide which can be annealed with an ammonia plasma. This can be followed by PECVD of a titanium nitride layer, all in the same reactor.
Further, one can use the PECVD method to deposit titanium over an aluminum substrate fol~owed by nitridi~ation with an ammonia plasma anneai. This can thus be coated with titanium nitride using the PECVD method of the present invention.
As can be seen, this provides a method to provide multiple coatings on a substrate in one reaction chamber.
The objects and advantages of the present invention wiil be further appreciated in light of the following detailed descriptions and drawings in which:
Brief Descri~tion of the Drawincrs The Figure is a side view in partial cross-sec~ion of a deposition chamber for use in the present invention, the Disclosure of which is incorporated herein by ref ererLce .
Detailed Descri~tion of the Invention The Figure shows one embodiment of a CVD reactor for use in the present invention. A similar structure is disc1Osed in pending U. S . Patent Application Serial No .
08/166,745. Modification of this apparatus is disc1Osed in a U.S. Patent Application entitled ~Method and Apparatus for Efficient Use of Reactant Gases and p1asmas ~or depositing CVD and PECVD Fi1m" listing Joseph E~illman, ~obert Foster and Rikhit Arora as inventors, filed on even date herewith.

2191~8 g - Reactor 20 includes a deposition chamber housing 22 which defines a reaction or deposition space 24. Reactor 20, and specifically reaction space 24 within housing 22, may be selectively eYacuated to Yarious different internal GG ~ 3~ ~I~Z
pressures, for example, froml(O.S to 10 Torr,) The susceptor 26 is coupled to a variable speed motor (not shown~ by shaft 30 such that the susceptor 26 and substrate 28 may be rotated at various speeds such as between 0 and 2,000 rpm. Suscepto~ 26 is also heated by a heating element (not shownl coupled to the susceptor 26 in order that susceptor 26 may heat substrate 28, such as between 200 and ~OOC.
Extending downwardly from a top wall 32 of housing 22 is a cylinder assembly 34 which is attached to a gas-dispersing showerhead 36. Showerhead 36 is suspended above substrate 28 by assembly 34. The cylinder assembly 34, in combination with an opening 42 formed in the top housing wall 32, forms a generally vertical flow passage 44 which extends between a housing cover 46 and showerhead 36. Showerhead 36 is coupled to an RF power 2I~ ~5~
-, o-source 38 by an appropriate RF feedline assembly 40 which extends through cover 46. A sealing structure 49 seais the opening around feedline assembly 40. Feedline 40 can include a heat pipe (not shown) to dissipate unwanted heat.
Plasma and reactant gases are introduced into flow passage 44 by c,~nc~"L~ ic gas rings 50, 52. The concentric rings 50, 52 include a number of holes 54 which evenly dispense the gases around the flow passage 44. Ring 50 is connected to a ~as supply through line 56, while ring 52 is connected to a supply by line 58.
An insulator ring 62 separates cylinder 34 and showerhead 36 for reasons flic&~c~d hereinbelow. If cylinder 34 is quartz, insulator ring 62 is not needed. In one ernbodiment of the reactor 20, cylinder 34 is 1r,~ ic&11y grounded by ground line 61.
The insulator ring 62 preferably has an outer diameter approximately the same as the outer diameter of showerhead 36.
Insulator ring 62 ensures complete separation of cylinder 34 and showerhead 36. The insulator ring is preferably made of quartz material app,u~i"~a~ (O.75 inches)thick.

Showerhead 36 is generally circular and inciudes 6~
di~pe, ~io" holes,6~generally throughout its entire area. The diameter of the showerhead 36 will depend upon the size of the wafers with which it is used. The showerhead 36 contains generally from 200 to G3,200 holes~and preferably from 300 to 600 holes for dispersing ~3 -the sases. Preferably, the showerhead dispersion holes,6~are sized , 1 ~ 3to prevent creation of a plasma in holesJ~. Holes approximately 0.1-1 mm are suitable for this purpose. A suitable showerhead is one which is 0.64 cm thick with 600 0.8 mm holes with a diameter of 17.3 cm Showerhead 36 is bolted or screwed to the quartz ring 62.
The showerhead 36 includes a stem 68. Stem 68 is formed integrally with the showerhead 36 and form part of the RF line assembly 40 which connects to showerhead 36. The sl,o~r_.l,ead, 36, inc~ùding stem 68, is formed of an electrically conductive materiai preferably Nickel-200. As may be appreciated other conductiYe materials may also be appropriate. As shown, the showerhead 36 is totally insulated from cylinder 34.
CVD reactant gases are introduced into the top of flow passage 44 by concentric gas rings 50, 52. The gases fiow downwardly through f~ow passage 44 and a velocity profile develops along the length of the flow passage. That is, the gas flow will develop different velocities as measured across the width of flow passage 44.
Generally, the velocity of the gas flow at the top of the flow passage near rings 50, 52 is generally equal ho.i~u,,~ 'y across flow passage 44. However, when the gas flow reaches the top surface 37 of ~I~u~lJ~ e~d 36, the velocity of the gas flow is greater in the center of the flow passage 44 p~u~d~al~ stem 68 than it is at the sides of the flow passage 44 near the walls of cylinder 60. At the bottom of flow passage 44 generally above showerhead 36, the veloci~y~ptofile 2191~8 WO 9!j/33865 ~ 1127 of the ~as flow has reached a steady state. When the reactsnt ~ases pass through the openings 63 of the showerhead 36, the velocity profile across the bottom surface 39 of the showerhead has f~attened out such that the flow velocity ~lo~dllldl~ the center of ~hu.:~ .l,aad 36 is generally equal to the flow velocity at the pe~ iuh~cl edge of the ~i)û rn . I ,ead .
The reduced spacing between showerhead 36 and rotatins substrate 28 produced by the present invention yields uniform gas flow over the top surface 29 of substrate 28 and a very thin boundary layer.
The 51 ,û ~_rl ,~ad 36 is biased with RF energy to function as an RF electrode for PECVD le- l"~ es The close spacing of the RF electrode and the resulting co~c~"L,alt:d plasma is very useful for low temperature PECVD, and particularly for low temperature PECVD
of titanium-containing films.
The RF power source, through RF feedline assembly 40 biases the ~I~u. .I,aad 36 so that the ~I,u~J_.l,~;ad functions as an RF
electrode. The grûunded susceptor 26 forms another parallel electrode. An RF field is created p, ~r~, di,ly between showerhead 36 and susceptor 26. 11~ . Idr~l in the r, ~ " ~, sl~o~ ad 36 will be referred to as ~I,u..~ adlelectrode 36 when referring to a biased sl,o~_.l,ead 36 in acc~l ia.~ce with the principles of the present invention. The RF field created by the biased s~lo~ ad/electrode 36 excites the plasma gases which are di~,u~ns~d through holes 63 , _ _ _ .. ... .. _
2 1 9 1 4 ~ 8 ~ C 1127 so that a plasma is created i~ edialely below showerhead/r;:s~ ~u~r 36. It is ~l~r~ld~ki that the plasma is created below the al 1O ~ , I ,aad/elecUode 36 and not within the flow space ~4 above the a~,oJ~ ,aad/electrode. As ~ ned above, the ~ la;ùn holes 63 are p~ t,dbly d;",a"siùned so that the plasma is confined belowthe sl,o~L.l,aad/electrode 36. F~llll~llll~l~, other steps are taken to ensure that the plasma is concer,l,d~ed below the sl ,u . . _. I ,aad/electrode 36. For ex2mple, insulator sleeves are utilized within the RF feedline assembly 40 to insulate the RF line from the metal of cylinder 34 and housing 22. Addiliol 'Iy, quârtz insulator ring 62 separates the ~ ead/~lL~l uue 36 from cylinder 34 and further ensures ge,)e,d~i~n of the plasma below the bottom throush surface 39 of the ~ d~ _Llude 36. The rotation of susceptor 26 ensures a uniform flow of plasma gas to the plasma for a uniform depl,:,iLiun.
The reactant gases, such as TiC14 are introduced through rin~s 50 and 52. The gas flow from rings 50 and 52 deYelops within the length of the flow space 44 as the gas travels to the ,I,u..L.l,eacl/electrode 36. The gas particles of the reactant gas sre excited by the RF field g~r,~:,dl~d by sl~u~.l,aad/electrode 36 snd susceptor 26. Therefore, a gas mixture of excited reactant gas particles and radicals and ions of the plasma gases are co~ce"lld~
above substrate 28 and close to the substrate. In acuuldaln~e with the principies of the present invention, the cylinder 2ssembly 34 is ... _ ....... .... _ ... ... _ ... _ _ .. .... ..... _ _ _ _ _ _ WO9S/33865 2t9~t~
-~4 el~siol~e~ such that the spacin9 between al lo~ laad~ udr~ 36 and substrate 28 is ularGIably under 25 mm, and more ~IGra~
approximate~y2olll;;;;~llaLala~ As",G"Li~l~edabove,thepressuredrop across the ~I,o~.~.l,Gad/electrode 36 flattens out the velocity profile of the plasma and reactant gases as they pass through the Ji;walaiu~
holes 63. This produces a generally equal velocity profile across the gas mixture above substrate 28 and promotes a uniform de~,u .ilion of a film on substrate surface 29.
The frequency range of the al 10 ./ U. 1 ~Ga.l/electrode 36 csn be between. for example, 450 KHz and 13.56 MHz. However, the invention does not seem to be particularly frequency sensitive. The unique use of the sl-o~.~ I,Gad/electrode 36 in close proximity to substrate 28 produces a COrll~G.lll-lLGd plasma with a large density of useful Qas radicals and ions plU~ G thG substrate surface 29. With the RF showerhead/electrode configuration of the present invention, it has been discovered that there does not seem to be a nolil,~a~lu âllllall~,GlllellL gained in rotating the susceptor 26 faster tha~
app~u~illlcL~ly 100 rpm, although rotation rates of up to 2,000 rpm or faster are possible. It was also found, however, that a rotation rate of 0 rpm, although not drastically affecting the dep~ailiun rste, lowers the uniformity of the reactant and plasma gas flow and the subsequent d~yG ,;liun.
Since the sho.~. I~Gadlelectrode 36 of the present invention generates a plasma cul .;. ,9 radicals and ions for a plasma-_ _ _ 21~I~58 enhanced CVD, the showerhead spacing and deposition pdldlllt:L~
must be chosen to achieve a useful mixture of radicals and ions at the substrate surface 29. While some ion bo",bd"i-lle"~ of the substrate 28 is beneficial because it supplies additional energy to the growing film layer on the surface 29, too much ion bombardment of substrate 28 may damage the integrated circuit devicss on the substrate.
Furthermore, a high density of ions leads to poor film conform2~ity as ions have a tendency to stick to contact and via surfaces.
~ 4 Finally, waste gases are removed from reaction space,l4 through port 53. Baffling 27 may be provided to even the gas flow around the susceptor 29.
This reaction 20 is useful in plasma-enhanced chemical vapor deposition of titanium, tungsten, titanium nitride, titanium silicide, and is useful for the annealing of a previousiy-deposited titanium film to form titanium nitride. The underlying invention, in turn, relies on the ~.u",l,i,~d~ion of these processes.
The underlying substrate can be any typica~ IC subs~rate including silicon, TEOS (tetra ethyl ortho silicate~, or quartz, as well as such substrates coated or partially coated with metal conductors, contacts, insulating layers and the like.
To deposit a titanium film according to the present invention, titanium tetrahalide such as titanium L~Lld-,llloride is added with hydrogen and is injected through injector rings 50 and 52. In this reaction, the flow rate of titanium tetrachloride should'~'e about 2191~

2 to about 100 sccm (generally about 5 sccm) with a significant molar excess of hydrogen gas. Generaily, the hydrogen gas flow rate will be 10 to about 300 times that of the flow rate of titanium tetrachloride. Argon can also be used and the hydrogen gas partially released accordingly. The gas inlet temperature for these combined gases is established at about 400 C to about 800 C with the substrate heated to a temperature of about 375 C to about 850 C.
The pressure of the reaction chamber can vary from 0.1 to about 20 1 3 33 ~ ~
torr, generally~(0.5 to 10 torr,~ At higher pressures a plasma will not form.
The RF electrode is operated at between about 100 watts up to, as a maximum power, the power at which the devices are damaged, which would be about 5 kilowatts. However, for practical purposes, about 250 watts is sufficient. The frequency of the RF electrode is set at from about 33 MHz down to about 55 KHz, with about 13.56 MHz being acceptable. This frequency is a frequency established by the Federal Communication Commission and therefore most equipment is set up for this frequency. However, it is certainly not determined for the 0p~ aLiun of the present reaction.
Thus, the combined gases are iniected into cylinder 34, pass through RF electrode/showerhead 36. A plasma is created and the titanium is formed and deposits onto the substrate 28. The hydrogen reacts with the halide, i.e., chlûride~ to form hydrogen chloride which is exhausted. The reaction is continued and the titanium film is deposited until a desired thickness of film is applied.
Depending upon the particular ~ on, this can vary from about lO~ oao v~
~00 angstroms)to abou2(20,000 angstroms~ solely dependant upon the desired application.
If tungsten is desired, the reactant gases are a tungsten halide such as tungsten hexaf~uoride and hydrogen gas. The tungsten hexafluoride is added through lines 50 and 52 at a flow rate of 2 to about 100 sccm (preferably about 5 sccm1 with, again a substantial molar excess of hydrogen gas. Argon is also added, as necessary to maintain pressure. The susceptor temperature will range from about 375 C to about 850 C.
Again, the RF electrode should be established at about the same frequency and wattage as that set forth for the deposition of titanium. A plasma is thus created forward of showerhead/
electrode 36 and tungsten is formed and deposited on rotating substrate 28. The tungsten film can be deposited to any desired thickness and the waste gas will be a combination of unreacted hydrogen and hydrogen fluoride.
For the formation of titanium silicide, a titanium halide gas, preferably titanium L~LId~ ide, is reacted with silane to form titanium silicide and hydrogen ch~oride. The reactant gases are injected through rings 50 and 52 into cylinder 34 and through showerhead/electrode 36. The electrode at 13.56 MHz will form a ~gl4~

plasma from the reactant gases. The plasma wiil contact the substrate 28, thus forming titanium silicide on the surface 29 of substrate 28. The preferred reaction conditions for this reaction are:
TiCI4 Flow Rate: 2 to 100 sccm Silane Flow Rate: 2 to 100 sccm Inert Gas As needed to maintain pressure Temperature: 375 C to 850 C
Rotation Rate: 100 Pressure: (0.5 to 20 torr) ~ G~ 6C~ ~Z
An inert gas such as argon or helium is introduced, as necessary to maintain pressure.
Finally, titanium nitride can be deposited by reacting titanium tetrachloride or other titanium halide with a source of nitrogen such as ammonia gas or a co~ ldLiol1 of nitrogen and hydrogen to produce titanium nitride and hydrogen chloride as a byproduct. The flow rate of titanium halide should preferably be from about 0.5 to about 20 sccm. The flow rate of nitrogen source ~qas should be from 1 to 200 sccm, with 1 to 5,000 sccm of hydrogen, argon or helium. In all of these reactions, the electrode power, as well as the frequency, can operate within the same pdldlllt:Lrl~ for deposition of Ti and the rotation rate remains about the same.
One final reaction which can be conducted in the apparatus of the resent invention and used ben~ri-,ially in the present invention is the ,1il1ir~i~dLion of a previously-deposited titanium film.
In this reaction, where the susceptor is previously coated with a titanium film, the titanium fiim may require nitridization. This can be conducted by reacting the surface with an ammonia plasma. The 2191~8 flow rate of the ni~ idi~dLiun gas can be from about 10 sccm to about 5,000 sccm. Preferably, the frequency will be about 480 KHz. The temperature of the reactlon can vary from about 650a C down to about 300 C with a preferred temperature being less than 500 C, referably 400-450 C. The pressure must be suL,dL,,,rj:.uheric in all t~6~
of these reactions and generally can vary froml,~;OO millitorr)up to ~ 16C,', NlmZ 1333 Nl~?
abouq(20 tûrr) with aboutl~ torr)being preferred. In the ni~ dLion reaction, the reaction time can vary from 1 minute to about 10 minutes, with about 5 minutes being preferred. These reactions will be further appreciated in light of the following detailed examples.
Example 1 Utilizing the deposition configuration, a layer of titanium nitride was deposited upon a substrate wafer at app,u~ ldL~ly a temperature of 400 C. Specifically, a layer of titanium nitride was deposited using ammonia gas (NH31 and nitrogen gas (N2) with the pdldlll~ listed below and the results shown in Table 1.
Deposition F~-ldlllt:Lt:la for Table No. 1:

TiCI4 (sccm) 10 NH3 (sccm) 500 N2 (sccm) 500 RF Power (watts~ 250 @ 450 KHz Reaction ChamberPressure (Torr) 1 = 133 ~1 Susceptor Rotation Rate (rpm) 100 Substrate Temp. (C) 400 5 TABLE NO. 1 I ~ , O~
RESULTS AND ADDITIONAL DEPOSITION PARAMETERS
Wafer TiN laver Depcsition Layer Resistivity Dep~siticn Susceptar Nc.thickness IA~ Rate (A/min~ ~ -cm~ Time ~sec~ Temp (CI
3 608 304 970 1 20 457
4 545 272 940 1 20 461
5 723 241 1021 180 462
6 910 303 1284 180 475 Wafers 1-3 were si~icon, while wafers 4-6 were thermal oxlde wafers having a thin layer of silicon dioxide on the surface.
This was done to ensure that the procss of the present invention may be utilized in a broad ranse of CVD r, F' Lions for both silicon wafers and oxide wafers. Each of the substrate wafers of Table 1 were also given an RF plasma ammonia ~NH3) anneal in the reactor 40 at 250 Watts for applu~ dlely 120 seconds with a gas flow rate of CGG 6 I~I¦~Z
5,000 sccm of NH3 at a pressure fll5 Torr~ The rotation rate of the susceptor during the anneal was a,up~ùxillldlt:ly 100 rpm. The NH3 RF plasma improves the film quality of the deposited TiN film as discussed further hereinbelow.
The RF plasma electrode/showerhead configuration, in acco,dal~ with the principles of the present invention, may be utilized to deposit a titanium nl,tnde (TiN) layer on a substrate utilizins both nitrogen gas ~N2~ and hydrorden gas (H2) instead of ammorlia gas (NH3). The various film results and deposition parameters for the H2 ... _ _ _ _ . _ _ . .. _ _ _ . . . . _ _ _ _ _ .. ..

21914~8 and N2 low temperature deposition of TiN are giYen below in Table Nos. 2, 3, 4 and 5, at increasing deposition temperatures for increasing table numbers.
DeDosition Pdlc~ for Ta~le No. 2 TiCI4 ~sccm) 10 H2 (sccml 500 N2 (sccm) 500 RF Power (wattsl 250 @ 450 KHz Reaction Chamber Pressure (Torr~ 1 - 133 I~l l m~
Susceptor Rotation Rate (rpm) 100 Substrate Temp. (C~ 400 Deposition Time 180 (seconds) TABLE NO. 2 RESULTS AND ADDITIONAL DEPOSITION PARAMETERS
Wafer TiN layer Depcsition Layer Resistivity Susceptor Na.thickness (A) Rate (AJmin~ ~-cm~ Temp (CC) 825275 1,530 470 21,023 341 26,864 480 31 ,221 407 4,1 1 8 488 41,262 421 3,108 470 51,227 409 855 470 61,2~4 408 4,478 460 71, 1 41 380 3,982 460 81,348 449 4,658 460 91,400 487 3,449 460 101,106 389 4,501 460 Wafers 1 and 2 of Table No. 2 were silicon, whi~e the remaining wafers 3-10 were thermal oxide. Wafers 6-10 received a 250 Watt RF plasma anneal for 120 seconds at an NH3 gas rate of 2191~8 4~ ~
5,000 sccm, at internal pressure fl(3 torr~(wafer 6 was done at 5 torr), and a susceptor rotation rate of 100 rpm.
Table No. 3 illustrates the results of deposition runs utilizing a substrate temperature of 450C, but ",a;.,~d;,~ g the same gas and deposition pa~d~ , as were used in the deposition runs of Table No. 2. Wafer 1 and 2 were silicon while wafers 3-8 were thermal oxide. The results are as follows with wafers 6-8 of Table No. 3 receiving a 120 second RF plasma ammonia annea~ at 5000 6cc G I~II~Z
sccm,L~5 Torr)and a 100 rpm rotation rate with a power level of 2S0 Watts.
TABLE NO. 3 ~ _ a . I h r~
RESULTS AND AODITIONAL DEPOSITION PARAMETERS
Wa~er TiN laysr Deposition Laycr Resistivity Suscsptor No.thickness (A~ Rate (A/min~ cml Temp (~CI
9g6332 640 5 1 8 21,069 336 607 519 31,064 355 666 521 41,488 496 815 524 51,562 521 821 521 61 ,444 481 7,1 21 522 71,381 454 5,812 524 81,306 435 6,363 523 The low temperature TiN deposition was repeated with the substrate temperature at 500C and the results are tabulated according to Table No. 4 beiow. Wafer 1 was silicon and wafers 2-7 were thermal oxide.

21gl45~

TABLE NO. 4 I A - o l ~
RESULTS AND ADDITIONAL DEPOS~TION PARAMETERS
Wafer TiN layer Deposition Layer Retistivity Susceptor No.thickness (~1 Rate (A/min) (~Q-cm) Temp ~C~

21,086 362 687 590 3.1,034 345 700 597 41,092 364 786 595 51,004 335 1,892 5g1 61,001 334 1,840 593 71,004 335 1,886 594 Wafers 1-4 in Table No. 4 we~e not annealed, while wafers 5-7 were annealed using a similar RF plasma NH3 anneal process and the ~.c,c,,,,~r:, used for the deposition runs r~:r~.~nced in Table No. 3.
Similarly with a substrate temperature of 600C, the CVD process of the present invention was used to deposit TiN with the results shown in Table No. 5 below, with wafers 1 and 2 being silicon and wafers 3-8 beins thermal oxide.

21gl~58 -2~
TABLE NO. 5 As 0, v~w.
RESULTS AND ADDITIONAL DEPOSITION PARAMETERS
Wafer TiN layer l~eposition Layer Resistivity Susceptor No.thickness (,41 Rate (Almin~ Q-cm) Ternp (C~
657 21g 3g1 650 6765 255 g4g 650 7773 258 g73 650 8g10 303 2 710 650 Again an RF plasma NH3 anneal was pe,tu""ed on substrate wafers 6-8 of Table No. 5 similar to the anneal step of 133 r~ l~z 6G6~r ~l~z tables 3 and 4 except at a pressure Ufl~l Tor~instead fl(5 Torr~
Therefore the deposition of TiN using the low temperature CVD
process of the present invention may be accomplished at various temperatures lower than the temperatures necessary for L~ddiLiona thermal CVD.
While titanium nitride may be deposited with the present invention/ it may also be desirable to deposit simply a layer of pure titanium. For example, a titanium layer might be deposited upon a silicon wafer which then reacts with the titanium to form a film of titanium silicide (TiSi2). To this end the present invention may also be used to deposit a layer of titanium.

21914~8 Table No. 6 below sets forth the results and pdldlllt~
of a deposition run which resulted in a deposited film of approximately 84% titanium on a thermal oxide wafer at 650C.
This was an excellent result for such low temperature chemical vapor deposition. The deposition run of Table 6 was pe, ru""ed according to the following deposition parameters, with the RF
showerhead/electrode configuration of Fig. 2.
DeDosition Pa, dl I Id~ for Table No. 6 TiCI4 (sccm) 10 H2 (sccm) 500 RF Power ~watts) 250 @ 450 KHz Reaction Chamber Pressure ~Torr) 1 = 1~ ~ ~l ~Z
Susceptor Rotation Rate (rpm) 100 Deposition time (sec) 2700 - Substrate Temperature (C) 650 TABLE NO. 6 l A _ o . ~
RESULTS AND ADDITIONAL DEPOSITION PARAMETERS
Wafer Ti layer Deposition Layer Resistivity Susceptor No. thickness ~A~ Rate (~/min) ~Q-cml Temp (~CI
1,983 44 g29 651 The substrate wafer of Table No. 6 was not annealed.
Additional Ti-layer deposition runs were made according to the Table No . 7 p~l dl I l~ldr:~ below with the following results shown in Table No. 7:

~ g~58 ~;

DeDosition Fald~ la fQr Table No. 7 TiCI4 (sccm~ 10 H2 (sccm) 500 RF Power (watts) 250 @ 450 i<H2 Reaction Chamber Pressure (Torr~ 0.85 - 1~3 ~ l~
Susceptor Rotation Rate (rpmi 100 Deposition time (sec) 120 (wafer 7 for 180 sec) Substrate Temperature (C) 565 Susceptor Temperature (~C) 650 TABLE NO. 7 I ~ . o~
RESULTS AND ADDITIONAL DEPOSITION PARAMETERS
Wafer Tj lave~thickness (~ Oeposi~on Layer Resistivity No. Rate ~A~min~ ~Q-cm~
134.8 67.4 2 116.1 2 466.2 233.1 1 767.8 3 209.2 104.6 761.8 4 100.8 50.4 1 94 04 97.0 6 1 54.98 77.5 ---
7 115.92 38.6 1 001.4
8 114.7 57.3 371.6
9 152.5 76.2 321.6 39.06 19.5 ---11 41.6 20.6 ---1 Z 50.4 25.2 Since a benefit of chemical vapor deposition of titanium-containing films is improved step coverage and film co ru ~.. .ly over the physical deposition techniques several of the film layers deposited according to the present invention were tested to measure conformality and step coverage. The layers tested for col1ru lll .l;ly 2~91458 and step coverage were deposited according to the pd~d~ L~r:. of Table No. 8 with the results shown in Table No. 8 below. The film conformality and step coYerage of the film layers deposited according to the pa~d"~e~ below were very good.
DeDositisn Parameters for Conformalitv and Steo Coveraqe C)erosition Runs of Table 8 TiCI (sccm) 10 Hz ~sccm) 500 N2 (sccm) 500 RF Power (watts~ 250 @ 450 KHz Reactor Chamber Pressure ~Torr) 1 , 1 3 3 N I ~ Z
Susceptor Rotation rate (rpm) 100 Substrate Temperature (aC~ 450 Susceptor Temperature (C1 520 TABLE NO. 8 I A . o ~
RESULTS AND ADDlTtONAL DEPOSITION PARAMETEPS
Wafer TiN layer Decositicn Layer Resisti~lity Susceptor No. thiclcness ~,4) Rate (~/min~ ~-cm) Temp ~C~
586 362 -~ 520 2 2.423 304 ---- 520 None of the wafers used in Table 8 and tested for step coverage were annealed with an RF plasma of NH3.
As illustrated above a layer of titanium nitride (TiN) may be deposited in accu, da,1ce with the principles of the ptesent invention without utiiizing ammonia gas (NH3). Instead, a mixture of H2 and N2 gases is used. Low temperature dd~siLioll of titanium nitride usin~ TiCI4, N2 and H2 is desirable because it reduces - 21~1~5g ~,o.,Ld~"i"a"~ within the reaction chamber that are formed by the chemical reactions of TiCI4 and NH3. More spe~,iricd'ly, TiCI4 reacts with NH3 at temperatures below 1 20C to form a yellow powdery adduct, and to prevent the adduct from forming it was necessary in the past to heat the reaction chamber walls to at least 1 50C. Since it is now possible to deposit a layer of titanium nitride at low temperatures using TiCI4, N2, and H2 chemistry instead of NH3, it is no longer necessary to remove a deposited adduct or to heat the reaction chamber walls, thus greatly reducing the cost of CVD
systems.
According to the deposition pdldllld~dl~ of Table No. 9, a layer of titanium nitride was depositPd upon several therma~ oxide substrates using a reaction chamber with unheated walls and a gas mixture of H2/N2. After the deposition of the films, the reaction chamber was inspected and there was no evidence of a yellow adduct found. None of the wafers of Table No. g were annealed with an RF NH3 anneal.
Paldl11dl~1~ for Adduct Test of Table No. 9 TiCI4 (sccm) 10 N2 (sccm1 500 H2 Isccm) 500 RF Power (watts~ 250 @ 450 KHz Reaction Chamber Pressure (Torr) 1 ~ 1 33 ~ ~ v~
Susceptor Rotation rate (rpm~ 100 Substrate Temp. (C~ 450 Deposition time (sec) 95 Susceptor Temperature (~C) approximately 520 ~gl~5~
-z9-TABLE NO. 9 I /~ ~ O I h w~
RESULTS AND ADDITIONAL DEPOS~TION PARAMETERS
Wafer TiN layet Deposiion Layer Resistivity S~lsceptor No.thickness ~A) Rate (A/min~ cm~ Temp (CC~
9458 2,1 64 525 2 132 83 2,118 523 31 27 80 1 ,377 520 Further deposition runs were made wherein the plasma and reactant gas flows were adjusted, as well as the internal deposition pressure. For example, the depo:,ilion runs shown in Table 10 utilized a higher flow rate of H and an increased deposition 133 ~ ~-.C- G ~ 2 pressure from~1 Torr to 5 Torr). Further, Atgon was mixed with the H2 fr some of the deposition runs.
Pal dl I ~ e~ ~ for Table 10 TiCI4 (sccm) 10 H2 (sccm~ 2,000 (wafers 1-4);
1,500 (wafers 5-9 Argon (slm) 0.5 (wafers 5-9) RF Power (watts) 250 @ 450 KHz Reaction Chamber Pressure (Torr) 5 , 6C6. 6 r`J
Susceptor Rotation rate (rpm) 100 Substrate Temp. (C) 565 Deposition time (sec) 300 (600 for wafer 9) Susceptor Temperature ~C) app,u~-i",dl~ly 650 TABLE NO. 10 I A = 0~
RESULTS AND ADDITIONAL DEPOSITION PARAMETERS
Wafer Ti layerlhickness Oeposi~ion Rate Laver Resistivi~
No. 1~) (A/min) (~JQ-cm 94 58 2, 1 64 21 32 83 2,21 8 3127 80 1,377 In Table 10, the flow of H2 was increased to 2,000 sccm for wafers 1-4 and 1,500 sccm for wafers 5-9. The deposition GC~:- 6 pressure was increased to~ Torr~ F~r wafers 5-9, a flow of 0.5 standard liters per minute (slm~ of Argon was utilized with the H2 as a diluent. In Table 10, wafers 1-2 and 5-6 were silicon, while wafers 3-4 and 7-9 were thermal oxide Table 11 shows additional runs made with the increased H2 flow and increased deposition pressure.

21914~8 DeDosition Parameters for Taole No.11 TiCI4 (sccm) 10 H2 (sccm) 1 ,500 Argon (slm) 0.5 RF Power (watts) 250 @ 450 KH~
Reaction Chamber Pressure (Torr~ 5 ~ CCC~ -G
Susceptor Rotation Rate (rpm) 100 Deposition time (sec~ 300 (wafers 9-12 600 sec~
Substrate Temperature (C) 565 Susceptor Temperature ~C) 650 TABLE NO. 11 I A . o ~
RESULTS AND ADDITIONAL DEPOSITION PARAMETERS
af6r Ti layer thickness Deposition Rate , Layer ResistlvitY
No. (~ /min) ; (~-cm~
67.4 2,116.1 2 233 . 1 1 ,767.8 3209.2 104.6 761.8 4 50.4 51 94.04 97.0 ---6 77.5 ---71 5.92 38.6 1,001 .4 8 57.3 371.6 9 76.2 321.6 1039.06 19.5 1 1 41.6 20.6 ---1 2 50.4 25.2 133 ~o G~6 C~`J lw.
The change in deposition pressure froml(1 Torr to 5 Torr) produced a more stable and symmetric plasma. Additionally, the .
increased hydrogen flow with the addition of a small flow of Argon . . . _ , . , _ _ 21~1458 increased the stability of the plasma flow as well as the plasma intensity. An ar~on flow of 0-10 slm is p, ~:rl~dbld~ Wafers 1-2 were silicon, while wafers 3-10 were thermal oxide. Wafers 11 and 12 were borophospho-silicate glass, available from Thin Films, Inc. of Freemont, California. None of the wafers of either Table 10 or 1l were annealed with a NH3 plasma anneal.
Table 12 shows additional deposition runs at a susceptor temperature of 450CC.
DeDosition Pdldllld~ for Table No. 12 TiCI4 tsccm~ 5 H~ (sccm~ 1,500 Aroon (slm) 0.3 RF Power (watts) 250 @ 450 KHz Reaction Chamber Pressure (Totr) 5 666 . 6 I\ll_.Z
Susceptor Rotation Rate (rpm) 100 Substrate Temperature lCC~ 450 Susceptor Temperature ( cc) 450 TABLE NO. 12 I A, ~
RESULTS AND ADDITIONAL DEPOSITION PARAMETERS
Wafer TN layer Oeposition Rate Laver ResistiYitv No. thickncss (Al ~A/min~ -cm1 9g0 330 578 21,086 362 687 31,034 345 700 41,092 364 786 51,004 335 1,892 61,001 334 1,840 71,004 335 1,886 ~g~

Wafers 1-4 were silicon, wafer 5 was thermal oxide while wafers 6 and 7 were an aluminum alloy containing aluminum silicon and copper. Runs 6 and 7 of Table 12 illustrate the viabiljty of depositing a titanium-containin~ film on aluminum usin~ the present invention. The deposition runs of Table 12 utilized a lower fiow of reactant ~as than the runs of Table 11, i.e., 5 sccm of TiCI4.
The deposition runs of Table 13 were made at further reduced TiCI4 flow rates. All of the wafers of Table 13 were thermal oxide. None of the wafers of Table 12 or 13 were annealed with an NH3 RF anneal.
DeDosition Parameters for Table No. 13 TiCI (sccm) wafers 1-2, 4 sccm;
4 3 4, 3 sccm;
5-6, 2 sccm; and wafer 7 at I sccm H2 (sccml 1,500 RF Power (watts~ 250 @ 450 KHz Reaction Chamber Pressure (Torr) 5 CGc . 61 Susceptor Rotation Rate (rpm) 100 Deposition time (sec) 300 (wafers 1 and 2 at 180 and 240, respectively) Substrate Temperature (C~ 450 Susceptor Temperature (C~ 450 21914~i~

TABi_E NO. 13 J 1~ z o ~
RESULTS AND ADDITIONAL DEPOSITION PARAMi--TERS
Wafer n layer Deposition Layer Resistivity Susceptor No.thickness (,4) ~ate ~A/minl (u~-cmi Temp lC) 21,086 362 678 590 31.034 345 700 597 41,092 364 786 595 51,004 335 1,892 591 61,001 334 1,840 593 71,004 335 1,886 594 According to the present invention, multiple layers are deposited onto the substrate. The procedures previously described for deposition of individual layers of tungsten, titanium, titanium nitride, or titanium silicide are empioyed to deposit a first layer onto the substrate followed by a different second layer. The second layer would also be deposited according to the procedures previously set forth. Optimally, additional layers can be deposited. When advantageous, an ammonia anneal would be used.
An integrated contact l~1dldli~d~ion process can be used by first depositing titanium onto a silicon surface by PECVD. This wili form a layer of titanium silicide. After the titanium deposition an ammonia plasma anneal is pd~u~ ed to provide an upper layer of nitrided siiicide titanium. Finally, a titanium nitride layer can be deposited by PECVD, again in the same reaction chamber. Finally, following the deposition of the titanium nitride, aluminum or tungsten 2191~
WO 9S/33865 r~ '.'C 1127 metal can be spuKer deposited. This fina~ de~,o,;~i~n, however, would require a separste chamber using spuKer d~pos;~io"
tecnnology. AnyspuKer~epo~i~ionclldllll~l ~ypicallyemployedcould be used for the present invention. The method of sputter d,~ siliu,) is well known to those skilled in the art and, per se, forms no part of this invention.
The present invention can also be used to form protective layers for aluminum contacts. When titanium nitride is deposited onto aluminum 1l ' n, aluminum nitride is formed at the interface. This is an insuiator and therefore impedes the flow of current from one Illc:Lclli~,Liul) layer to another. The titanium nitride layer is needed as an adhesion layer for forming tungsten via plu~s.
To overcome this problem, a titanium layer is deposited onto the preYiously-deposited aluminum layer using the PECVD process preYiously described. The titanium layer is then subjected to a p~asma enhanced ammonia anneal, also as previously rlicc~cc~d Finally, a thicker layer of titanium nitride can be deposited using the PECVD
process of the present invention. Thus, the d~rqCitpd titanium laver will protect the aluminum layer, preventing formation of aluminum nitride due to reaction with titanium nitride. Again, this can all be done in one reactor where previously two spuKering chambers would have been required. This thus provides for a single chamber CVD
multi-level " ,~ process .

21914~8 Furthe-, the present invention can be used to apply a titanium nitride film over a titanium film. The titanium film can be deposited over any substrate according to the PECVD method previously described. The titanium is next subjected to a plasma ammonia anneal, as previously discussed, to form an adhesion layer of titanium nitride. Titanium nitride is then deposited by the PECVD
method of the present invention. When depositing a titanium nitride fiim over a nitrided titanium film, it may be preferable to do this in two steps. In an initial step, the titanium can be deposited in titanium tetrachloride depletion, i.e., titanium ~ dcl1loride flow rate of 20 sccm with a flow rate of ammonia of about 500 sccm with 5 liters
10 i~ 6 0 h ~
per minute of nitro~en as a diluent. After a thin layer -- about(100 to 500 angstrom~--of titanium nitride has been dr positPd~ the flow rate of the titanium tetrachloride can be turned up into the saturation regime, i.e., about 80 sccm, with the ammonia and nitrogen rates remainin~q constant. This can be deposited to a desired thickness and the conformality should be about 100%.
Whlle the present invention has been illustrated by the description of embodlments thereof, and while the embodlments have been descrlbed in co~,~idd,cblr detail, the scope of the present Invention should not be limited to such detail. Additional advantages and modifications will readily appear to those skilled In the art. For example, the low temperature CVD technique of the present invention may be utillzed to deposit other films besides the titanium-uollic;~1;ng 2191~58 films ~ ed in extensive detail herein. ~urthermore, activated radicals of gases other than H2 and N2 might also be utilized to lower the deposition temperature.

Claims (10)

-38-
1. A method of depositing a titanium nitride film onto a substrate, the method being characterised by the steps of forming a titanium layer on a surface of the substrate by creating a first plasma of a gas mixture, the gas mixture comprising titanium tetrahalide and hydrogen wherein the plasma is created within about 25 mm of the surface, and nitriding the titanium layer by forming a second plasma from a gas selected from the group consisting of ammonia and nitrogen within 25 mm of the titanium layer, thereby forming a layer of titanium nitride.
2. A method as claimed in Claim 1 wherein the substrate surface is aluminum or tungsten or silicon.
3. A method as claimed in either Claim 1 or Claim 2 further comprising depositing a layer of titanium nitride film on the nitrided titanium layer by creating a third plasma of a second gas mixture, the second gas mixture comprising titanium tetrahalide and a gas selected from the group consisting of ammonia and nitrogen.
4. A method as claimed in Claim 3 wherein the third plasma is created within 25 mm of the nitrided titanium layer.
5. A method as claimed in either Claim 3 or Claim 4 wherein each of the first, second and third plasmas are created within 20 mm of the surface.
6. A method as claimed in any one of Claims 3 to 5 wherein the first, second and third plasmas are created by creating a radio frequency potential at a metal showerhead located within 25 mm of the surface.
7. A method as claimed in any one of Claims 3 to 6 wherein the first gas mixture comprises less than 10%
titanium tetrahalide by volume and wherein the second gas mixture has a titanium tetrahalide concentration greater than 10% up to 20% by volume.
8. A method as claimed in any preceding claim wherein the nitriding gas is ammonia.
9. A method of depositing a titanium nitride film over a surface the method being characterised by the steps of subjecting a titanium surface to a first plasma wherein the plasma is created from a gas selected from the group consisting of ammonia and nitrogen and wherein the plasma is created within 25 cm of the titanium surface, and forming a second plasma from a gas mixture within 25 mm of the surface wherein the gas mixture comprises titanium tetrahalide and a gas selected from the group consisting of ammonia and nitrogen.
10. A method as claimed in any preceding claim wherein the titanium tetrahalide is titanium tetrachloride.
CA002191458A 1994-06-03 1995-04-03 Low temperature plasma-enhanced formation of integrated circuits Abandoned CA2191458A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/253,978 US5975912A (en) 1994-06-03 1994-06-03 Low temperature plasma-enhanced formation of integrated circuits
US08/253,978 1994-06-03

Publications (1)

Publication Number Publication Date
CA2191458A1 true CA2191458A1 (en) 1995-12-14

Family

ID=22962443

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002191458A Abandoned CA2191458A1 (en) 1994-06-03 1995-04-03 Low temperature plasma-enhanced formation of integrated circuits

Country Status (9)

Country Link
US (2) US5975912A (en)
EP (1) EP0763146B1 (en)
JP (1) JP3404536B2 (en)
KR (1) KR100355914B1 (en)
AU (1) AU2238595A (en)
CA (1) CA2191458A1 (en)
DE (1) DE69506865T2 (en)
TW (1) TW294827B (en)
WO (1) WO1995033865A1 (en)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291343B1 (en) 1994-11-14 2001-09-18 Applied Materials, Inc. Plasma annealing of substrates to improve adhesion
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3374322B2 (en) * 1996-10-01 2003-02-04 東京エレクトロン株式会社 Method for continuously forming titanium film and titanium nitride film
US6537621B1 (en) 1996-10-01 2003-03-25 Tokyo Electron Limited Method of forming a titanium film and a barrier film on a surface of a substrate through lamination
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
JP3636866B2 (en) * 1997-07-16 2005-04-06 東京エレクトロン株式会社 Method for forming CVD-Ti film
US6140230A (en) 1998-02-19 2000-10-31 Micron Technology, Inc. Methods of forming metal nitride and silicide structures
KR100331545B1 (en) 1998-07-22 2002-04-06 윤종용 Method of forming multi-layered titanium nitride film by multi-step chemical vapor deposition process and method of manufacturing semiconductor device using the same
US6107150A (en) * 1998-09-04 2000-08-22 Advanced Micro Devices, Inc. Method of making high performance transistors using channel modulated implant for ultra thin oxide formation
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
JP3175721B2 (en) * 1999-02-05 2001-06-11 日本電気株式会社 Method for manufacturing semiconductor device
US6221174B1 (en) * 1999-02-11 2001-04-24 Applied Materials, Inc. Method of performing titanium/titanium nitride integration
US6316353B1 (en) 1999-02-18 2001-11-13 Micron Technology, Inc. Method of forming conductive connections
US6245674B1 (en) * 1999-03-01 2001-06-12 Micron Technology, Inc. Method of forming a metal silicide comprising contact over a substrate
JP3782938B2 (en) 1999-04-20 2006-06-07 東京エレクトロン株式会社 Single chamber processing method of PECVD-Ti film and CVD-TiN film in IC manufacturing
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
JP2001210606A (en) 2000-01-24 2001-08-03 Oki Electric Ind Co Ltd Method of manufacturing semiconductor device
US6436819B1 (en) * 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6436820B1 (en) * 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
JP2003092271A (en) * 2001-07-13 2003-03-28 Seiko Epson Corp Semiconductor device and method of manufacturing the same
JP4128383B2 (en) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 Processing apparatus and processing method
JP3574651B2 (en) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR100536797B1 (en) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 Chemical vapor deposition apparatus
DE10343761A1 (en) * 2003-09-22 2005-04-14 Mtu Aero Engines Gmbh Wear protection layer, component with such a wear protection layer and manufacturing process
DE102004007984A1 (en) * 2004-02-18 2005-09-01 Aixtron Ag CVD reactor with photodiode array
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
KR100636036B1 (en) * 2004-11-19 2006-10-18 삼성전자주식회사 Method of forming a titanium nitride layer and apparatus for performing the same
KR100636037B1 (en) * 2004-11-19 2006-10-18 삼성전자주식회사 Method of forming a titanium nitride layer and apparatus for performing the same
JP5032056B2 (en) * 2005-07-25 2012-09-26 株式会社東芝 Method for manufacturing nonvolatile semiconductor memory device
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
JP5371238B2 (en) * 2007-12-20 2013-12-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US20100123206A1 (en) * 2008-11-18 2010-05-20 Thunderbird Technologies, Inc. Methods of fabricating field effect transistors including titanium nitride gates over partially nitrided oxide and devices so fabricated
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
JPWO2013051450A1 (en) * 2011-10-07 2015-03-30 シャープ株式会社 Method for manufacturing photoelectric conversion device
WO2015069894A2 (en) * 2013-11-09 2015-05-14 Tokyo Electron Limited Method for depositing metal layers on germanium-containing films using metal chloride precursors
US10978448B2 (en) 2016-01-22 2021-04-13 Texas Instruments Incorporated Integrated fluxgate device
US10535527B2 (en) * 2017-07-13 2020-01-14 Applied Materials, Inc. Methods for depositing semiconductor films
KR102645319B1 (en) * 2017-12-26 2024-03-11 솔브레인 주식회사 Composition for forming thin film, thin film and manufacturing method thereof
KR102643607B1 (en) * 2017-12-26 2024-03-06 솔브레인 주식회사 Composition for forming thin film, thin film and manufacturing method thereof
US11421318B2 (en) * 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
US11823910B2 (en) * 2020-07-31 2023-11-21 Tokyo Electron Limited Systems and methods for improving planarity using selective atomic layer etching (ALE)
US11664229B2 (en) * 2020-09-24 2023-05-30 Applied Materials, Inc. Nitride capping of titanium material to improve barrier properties

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656995A (en) * 1969-05-02 1972-04-18 Texas Instruments Inc Chemical vapor deposition coatings on titanium
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS59159167A (en) * 1983-03-01 1984-09-08 Zenko Hirose Manufacture of amorphous silicon film
DE3429899A1 (en) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo METHOD FOR FORMING A DEPOSITION FILM
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
JPS60221566A (en) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol Thin film forming device
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (en) * 1984-07-16 1986-02-06 Canon Inc Apparatus for forming amorphous silicon film
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (en) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt METHOD FOR THE PRODUCTION OF SEMICONDUCTOR LAYERS ON SEMICONDUCTOR BODIES OR FOR THE DIFFUSION OF INTERFERENCE POINTS IN THE SEMICONDUCTOR BODY
US4749589A (en) * 1984-12-13 1988-06-07 Stc Plc Method of surface treatment
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
JPS61189626A (en) * 1985-02-18 1986-08-23 Canon Inc Formation of deposited film
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (en) * 1985-03-28 1986-10-04 Canon Inc Copying machine
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (en) * 1985-03-27 1996-09-25 キヤノン株式会社 Functional deposition film manufacturing equipment
JPH07101751B2 (en) * 1985-03-28 1995-11-01 キヤノン株式会社 Photovoltaic device manufacturing method
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
NL8602356A (en) 1985-10-07 1987-05-04 Epsilon Ltd Partnership APPARATUS AND METHOD FOR AN AXIAL SYMMETRICAL REACTOR FOR THE CHEMICAL VAPORIZATION.
JPH0645890B2 (en) * 1985-12-18 1994-06-15 キヤノン株式会社 Deposited film formation method
JPH084071B2 (en) * 1985-12-28 1996-01-17 キヤノン株式会社 Deposited film formation method
JPS62161951A (en) * 1986-01-08 1987-07-17 Seiko Instr & Electronics Ltd Surface treatment of ornamental parts
CH671407A5 (en) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US4800105A (en) 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (en) * 1986-12-12 1996-02-22 Canon Kk Process for forming functional evaporated films by a chemical microwave plasma evaporation process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0275965B1 (en) * 1987-01-19 1995-05-31 Hitachi, Ltd. Plasma operation apparatus
KR900008505B1 (en) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Microwave enhanced cvd method for depositing carbon
JPS63233564A (en) * 1987-03-23 1988-09-29 Canon Inc Manufacture of junction transistor
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
CA1303194C (en) * 1987-07-21 1992-06-09 Katsumi Nakagawa Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least zn, se and h in an amount of 1 to40 atomic %
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
JPH0192375A (en) * 1987-10-05 1989-04-11 Canon Inc Device for forming functional deposited film by microwave plasma cvd
CA1299716C (en) * 1987-11-20 1992-04-28 Katsumi Nakagawa Pin junction photovoltaic element with p or n-type semiconductor layercomprising non-single crystal material containing zn, se, h in an amount of 1 to 4 atomic % and a dopant and i-type semiconductor layer comprising non-single crystal si(h,f) material
US5008726A (en) * 1987-11-20 1991-04-16 Canon Kabushiki Kaisha PIN junction photovoltaic element containing Zn, Se, Te, H in an amount of 1 to 4 atomic %
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
JPH01198481A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
JPH01198482A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (en) * 1988-04-15 1998-07-16 株式会社日立製作所 Semiconductor device and manufacturing method thereof
JPH01298164A (en) * 1988-05-25 1989-12-01 Canon Inc Formation of functional deposit film
JPH01296611A (en) * 1988-05-25 1989-11-30 Canon Inc Semiconductor thin film deposition
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
JPH01306565A (en) * 1988-06-02 1989-12-11 Canon Inc Formation of deposited film
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
JPH0215174A (en) * 1988-07-01 1990-01-18 Canon Inc Microwave plasma cvd device
DE68927726T2 (en) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Device for dry etching with a generator for generating anhydrous hydrofluoric acid gas
DE3926023A1 (en) * 1988-09-06 1990-03-15 Schott Glaswerke CVD COATING METHOD FOR PRODUCING LAYERS AND DEVICE FOR CARRYING OUT THE METHOD
KR940003787B1 (en) * 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 Thin film forming method and device
GB8823668D0 (en) * 1988-10-08 1988-11-16 Tecvac Ltd Surface treatment of metals & alloys
JPH02114530A (en) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp Thin film formation device
JP2717583B2 (en) * 1988-11-04 1998-02-18 キヤノン株式会社 Stacked photovoltaic element
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (en) * 1988-11-29 1990-06-07 Canon Inc Apparatus for forming semiconductor device continuously
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
JP2829653B2 (en) * 1989-01-21 1998-11-25 キヤノン株式会社 Photovoltaic element
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
JPH02192771A (en) * 1989-01-21 1990-07-30 Canon Inc Photovoltaic element
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
JPH02258689A (en) 1989-03-31 1990-10-19 Canon Inc Method for forming crystalline thin film
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5037666A (en) * 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
JPH0394069A (en) 1989-09-05 1991-04-18 Mitsubishi Electric Corp Thin film forming device
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (en) 1989-12-13 1996-01-29 三菱電機株式会社 Surface cleaning device and method thereof
KR0184279B1 (en) * 1990-01-29 1999-04-15 미다 가쓰시게 Metal or metal silicide film making method
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
FR2664294B1 (en) 1990-07-06 1992-10-23 Plasmametal METHOD FOR METALLIZING A SURFACE.
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (en) 1990-10-24 1999-11-01 고지마 마따오 Method for forming a thin film and semiconductor devices
JP2939355B2 (en) 1991-04-22 1999-08-25 東京エレクトロン株式会社 Plasma processing equipment
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit

Also Published As

Publication number Publication date
JP3404536B2 (en) 2003-05-12
EP0763146B1 (en) 1998-12-23
DE69506865D1 (en) 1999-02-04
DE69506865T2 (en) 1999-05-27
AU2238595A (en) 1996-01-04
EP0763146A1 (en) 1997-03-19
WO1995033865A1 (en) 1995-12-14
JPH10501100A (en) 1998-01-27
TW294827B (en) 1997-01-01
US5975912A (en) 1999-11-02
KR970703443A (en) 1997-07-03
KR100355914B1 (en) 2003-01-08
US6221770B1 (en) 2001-04-24

Similar Documents

Publication Publication Date Title
CA2191458A1 (en) Low temperature plasma-enhanced formation of integrated circuits
US5593511A (en) Method of nitridization of titanium thin films
KR100421574B1 (en) METHOD OF LOW TEMPERATURE PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF TiN FILM OVER TITANIUM FOR USE IN VIA LEVEL APPLICATIONS
US6274496B1 (en) Method for single chamber processing of PECVD-Ti and CVD-TiN films for integrated contact/barrier applications in IC manufacturing
KR100356264B1 (en) Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5926737A (en) Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
KR100462097B1 (en) A method of forming a titanium nitride film and the product prepared by said method
EP1071834B1 (en) Method of passivating a cvd chamber
US4491496A (en) Enclosure for the treatment, and particularly for the etching of substrates by the reactive plasma method
JP3740508B2 (en) Plasma enhanced annealing of titanium nitride
KR100445018B1 (en) Method and Apparatus for Metallizing High Aspect Ratio Silicon Semiconductor Device Contacts
US20120009356A1 (en) Contamination reducing liner for inductively coupled chamber
US5827408A (en) Method and apparatus for improving the conformality of sputter deposited films
US4777061A (en) Blanket tungsten deposition for dielectric
JPH0766186A (en) Anisotropic depositing method of dielectric
US4794019A (en) Refractory metal deposition process
US5789028A (en) Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
JP3093718B2 (en) Microwave introduction device and surface treatment method
US6235652B1 (en) High rate silicon dioxide deposition at low pressures
JPH0270066A (en) Plasma cvd device
WO2000016388A1 (en) High rate silicon dioxide deposition at low pressures
JPS57111212A (en) Surface coating method of substrate with metallic nitride

Legal Events

Date Code Title Description
FZDE Discontinued