CA1273715A - Cache and virtual memory organization - Google Patents

Cache and virtual memory organization

Info

Publication number
CA1273715A
CA1273715A CA000529197A CA529197A CA1273715A CA 1273715 A CA1273715 A CA 1273715A CA 000529197 A CA000529197 A CA 000529197A CA 529197 A CA529197 A CA 529197A CA 1273715 A CA1273715 A CA 1273715A
Authority
CA
Canada
Prior art keywords
cache
address
memory
tag
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CA000529197A
Other languages
French (fr)
Inventor
John P. Moussouris
Lester M. Crudele
Steven Przybylski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MIPS Tech LLC
Original Assignee
MIPS Computer Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MIPS Computer Systems Inc filed Critical MIPS Computer Systems Inc
Application granted granted Critical
Publication of CA1273715A publication Critical patent/CA1273715A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0844Multiple simultaneous or quasi-simultaneous cache accessing
    • G06F12/0846Cache with multiple tag or data arrays being simultaneously accessible
    • G06F12/0848Partitioned cache, e.g. separate instruction and operand caches
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/10Address translation
    • G06F12/1027Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]
    • G06F12/1045Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB] associated with a data cache
    • G06F12/1054Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB] associated with a data cache the data cache being concurrently physically addressed

Abstract

ABSTRACT

A cache-based computer architecture is disclosed in which the address generating unit and the tag comparator are packaged together and separately from the cache RAMs. If the architecture supports virtual memory, an address translation unit may be included on the same chip as, and logically between, the address generating unit and the tag comparator logic. Further, interleaved access to more than one cache may be accomplished on the external address, data and tag busses.

Description

lZ73~5 CACHE AND VIRTUAL MEMORY ORGANIZATION

BACKGROUND

1. Field o~ the Invention ; 5 The invention relates to computer architectures, and more particularly to computer architectures which employ ¦ a cache RAM.
2. Descri~tion of Related Art Modern day computer designs frequently include a very large main memory address space which interfaces with a CPU via a cache memory. Good descriptions of the various uses of and methods of employing caches appear in the following articles: Kaplan, "Cache-based j¦ Computer Systems,N Computer, 3/73 at 30-36; Rhodes, i 15 "Caches Keep Main Memories From Slowing Down Fast CPUs,"
Electronic Desian, 1/21/82, at 179; Strecker, "Cache - Memories for PDP-ll Family Computers," in Bell, "Computer Enaineerina" (Digital Press), at 263-67.

In one form, a cache memory comprises a high speed data ~AM and a parallel high speed tag RAM. The location address of each entry in the cache is the same as the low order portion of the main memory address to which the entry corresponds, the high order portion of the ;, ,~ , 1~73~15 main memory add~ess being stored in the tag RAM. Thus, if main memory is thought of as 2m blocks of 2n words each, the i'th word in the cache data RAM will be a copy of the i'th word of one of the 2m blocks in main memory.
The identity of that block is stored in the i'th location in the tag RAM. When the CPU requests data from memory, the low order portion of the address is supplied as an address to both the cache data and tag RAMs. The tag for the selected cache entry is compared - 10 with the high order portion of the CPU's address and, if it matches, the data from the cache data RAM is enabled onto the data bus. If the tag does not match the high order portion of the CPU's address, then the data is fetched from main memory. It is also placed in the cache for potential future use, overwriting the previous entry. On a data write from the CPU, either the cache RAM or main memory or both may be updated, it being understood that flags may be necessary to indicate to I one that a write has occurred in the other. The use of j 20 a small, high speed cache in the computer design permits ~i the use of relatively slow but inexpensive RAM for the large main memory space, by taking advantage of the "property of temporal locality," i.e., the property inherent in most computer programs wherein ~ memory location referenced at one point in time is very likely to be referenced again soon thereafter.

A cache memory architecture can be thought of 85 comprising three basic building blocks or modules: a _ unit for generating addresses (which may comprise an entire CPU), cache data and tag RAMs for storing the recently used information, and tag comparator logic for determining whether a hit or miss has occurred. In older architectures, these three modules were typically disposed on separate chips or even separate boards.
This posed several problems. Firct, ~ speed penalty was incurred due to the length of the wires connecting the 1273~1S
-3-various chips together. This penalty is becoming more important as semiconductor memory and logic speeds increase. Second, whenever a signal is sent off-chip, the drivers are limited in their switching speed because very high currents will create too much inductive switching noise in the power supply for the remainder of the circuits to tolerate. Third, the need for many chips increases costs both because board space is expensive, and also because the total cost of many devices is greater than the total cost of a few highly integrated devices. Additionally, these older architectures were often designed to require a cache hit ignal before cache data was enabled onto the data bus.
Data would therefore not appear on the data bus until three delay periods were exhausted serially: the time required to read the tag RAM, the time required to compare it to the high-order portion of the address, and the time required to enable data from the data cache RAM
onto the data bus.
i ~, 20 More recently, Texas Instruments began manufacturing a chip, called the TMS2150, which includes both the cache tag RAM and the tag comparator logic together on the same chip. This chip is described in Rhodes, "Cache-Memory Functions Surface on VLSI Chip," Electronic Desian, 2/18/82, at 159. The TMS2150 reduces some of the chip boundary crossings in the prior implementation, but not enough. The full memory address must still be ¦ sent out to the 2150, requiring a potentially disruptive driver for each bit. Additionally, the architecture shown as Fig. 5 of the above article continues to show data from the cache data RAMs being enabled onto the data bus only after a match is detected by the 2150.

It has also been suggested that all three of the modules described above be integrated onto the sa~e chip. See, for example, Goodman, "Using Cache Memory to Reduce
-4-Processor-Memory Traffic," Proceedinas of the 10th Annual Symposium on Computer Architecture, 6/83, pp. 124-131, at 125; VanAken, "Match Cache Architecture to the Computer System," Electronic Design, 3t4/82, at 93. Whereas this would eliminate all chip boundary crossings, it is not very practical for two reasons.
First, the size of the cache RAMs would have to be too small to yield a reasonable hit rate. Second, it prevents the designer from taking advantage of advances in memory technology that occur during the computçr - design cycle. Regardless of what technology is chosen at the beginning of the design cycle, it will be outdated when the computer reaches the production stage.
If the tag and data RAMs are implemented off-chip, whatever products were initially expected to fill those sockets could simply be replaced by the faster, denser, cheaper and cooler-running chips likely to be available when the computer reaches the production stage. This cannot be done if the RAMs are incorporated into the CPU
chip.

Pairchild's "Clipper" chip set implements a similar type of organization. See Sachs, "A ~igh Performance 846,000 Transistor UNIX Engine - The Fairchild Clipper,"
Proceedinas of IEEE International Conference on Computer Pesian, 10/85, at 342-46 for a description. The Clipper chip set includes three chips: a CPU, an Instruction Cache And Memory Management Unit (ICAMMU) and a Data Cache And Memory Management Unit (DCAMMU~. The ICAMMU
integrates cache RAMs, a ~ag comparator and a translation lookaside buffer (discussed below) on one chip. It also integrates a copy of the CPU's program counter, so that instruction address information need be transmitted to the ICAMMU only on program branches. The Clipper implementation is similar to ~he fully integrated approach in that the address generating unit (the copy of the program counter) is on the sa~e chip as lZ737~S

the cache RAMs and tag comparator. But in order to make the cache RAMs as large as they are, the CPU had to be moved off-chip. Full virtual addresses must therefore cross a ch~p boundary from the CPU to ICAMMU whenever a branch takes place. Additionally, a~ wi~h the fully integrated approach, a designer using the Clipper ship set cannot take advantage of the advances that occur in memory technology during the computer design cycle.

Read/write cycle times are further increased if the computer has virtual memory capability. In such computers, each of a number of different tasks address memory as if the other tasks were not present. In order to accompli6h this, main memory is divided into blocks or "pages," one or more of which can be assigned to each task at any given time. When a task references a "virtual" memory address, the address must be translated into a real address in the proper page of main memory.
Only the high order bits of the virtual address must be translated, however, since the low order bits ~re the same for each page. Thus, since a typical page size is 4k bytes, all but the low order 12 bits of the virtual address must usually be translated for each main memory , access.

Virtual memory capability can be implemented in a cache system in any of several configurations, none of which have been altogether satisfactory. In one configuration, an address translation unit (ATU) is placed between the address generating unit and the cache _ memory. This configuration introduces significant overhead because every access to the cache is delayed by the time needed to go through the ~ddress translation unit. A second possibility is to set the cache length equal to or less than the virtual page length, such that only the untranslated low order address bits sre needed to address it. The Clipper chip set, described above, 12737~..5 uses this configuration. Rowever, this usually limits cache length to a size too small to provide a reasonable hit rate. The cache size limitation can be overcome by adding set associativity (two or more tag/data RAM pairs in parallel) to the cache RAM organization, but this requires that set selection logic be added to the tag comparator logic to determine which cache data RAM to enable onto the data bus once a mat~h is detected. This additional layer of logic further degrades performance.

A third possible configuration involves using virtual addresses to address a long, direct mapped ~single set) - cache, and ~ranslating the addresses to real addresses only when it becomes necessary to access main memory.
~ut this has other problems which reduce its overall efficiency. First, in a multitasking environment, all the tasks usually address an overlapping group of virtual addresses, though these usually correspond to differen~ real addresses for each task. ~he principle of locality continues to apply to each task individually, but it no longer applies to all tasks running together. A cache entry addressed and updated by one task is likely to be addressed and updated by a second task before the first can benefit from its presence nearby. Set associativity can be used to offset this problem, but many sets may be necessary to match the performance of a computer with a direct mapped ;1 real addressed cache. The necessary set selection logic also degrades performance.

_ Second, in situations where I/O ~s performed in the form of direct modification of main memory contents, it is necessary to flag the corresponding cache entry, if one exists, to indicate that it no longer contains valid data. Bowever, since the cache is accessed by virtual addresses, the real address of the memory location modified must be reverse translated to determine which lZ737~S

if any cache entry corresponds. The schemes employed to overcome this problem add significantly to the complexity of the computer memory control logic and the software overhead.
5 SUMMARY OF THE INVENTION

It is an object of the present invention to propose a computer architecture not subject to the above difficulties.
:
It is another object of the present invention to propose _~ 10 a cache-based computer architecture.

It is another object of the present invention to propose a cache-based computer architecture which minimizes chip boundary crossings.

It is another object of the present invention to propose !1, 15 a cache-based computer architecture in which cache data ¦ is available on the data bus even before a match is detected.

, lt is another object of the present invention to propose a virtual memory computer architecture with a direct mapped cache.

The above objects and others are accomplished according to the invention by integrating the tag comparator logic on the same chip as the address generating module, and disposing the cache tag and data RAMs externally to that chip. An address translation unit may be included on the same chip as, and logically between, the address generating unit and the tag comparator logic lf the computer architecture includes virtual memory. Purther, if the computer architecture separates instruction and data memory, separate instruction and data caches may be 12737~5 employed with interleaved bus access.

BRIEF DESCRIPTION OP THE DRAWINGS

The invention will now be described with reference to particular embodiments thereof, it being understood that many other embodiments not described are nevertheless within the scope of the invention. The embodiments will be described with reference to the drawings, in which:

FIGURES 1-3 are block diagrams of various embodiments according to the invention: and FIGURE 4 is a timing table for the embodiment of Fig. 3.

DETAILED DESCRIPTlON

In Fig. 1 there is shown a block diagram of a computer !l system incorporating the present invention. It b comprises a CPU chip 10 having an execution unit 12 and 15 a tag comparator 14 integrated thereon. The execution ~3 unit 12 is connected to a data bus 16 and an internal address bus la. The high order m bits of the internal address bus 18 are connected to the tag comparator 14, and the low order n bits pass across the CPU chip 20 boundary 20 and are connected to an external address bus 22. The data bus 16 also traverses the CPU chip boundary 20 to become an external data bus. The tag comparator 14, in addition to being connected to the high order m bits of the internal data bus 18, is also - 25 connected to a tag bus 24 having a width of m bits. The tag bus 24 also crosses the CPU chip boundary 20.
External to the CPU chip 19 are a cache tag RAM 26, a cache data RAM 28 and a main memory control unit 30.
The cache tag RAM 26 is connected to the external address bus 22 and the tag bus 24 the cache data RAM 28 is connected to the external address bus 22 and the data lZ7371'j bus 16; and the main memory control unit 30 is connected to the external address bus 22, the tag bus 24 and the data bus 16. The main memory control unit 30 is also connected via a bus 32 to the main memory 34.

In operation, the execution unit 12 produces an address onto the internal address bus 18. The n low order bit~
of that address are transmitted along the external address bus 22 and supplied as an address to the cache tag and data RAMs 26 and 28, respectively. If a read is ~ 10 being performed, a data word is enabled from the cache data RAM 28 onto the data bus 16 after a delay equal to the access time of the RAM. This data ie transmitted to the execution unit 12 where operation4 begin immediately. At roughly the ~ame time that data rom the cache data RAM 28 is enabled onto the data bus 16, the contents of the cache tag RAM 26 at the selected entry are enabled onto the tag bus 24. Such contents include the high order m bits of the main memory address to which the selected entry correspond~, as well as a flag (NV) indicating whether the selected data is nonvalid, and possibly one or more parity bits. The tag comparator 14 compares the tag on the tag bus 24 with the m high order address bits on the internal address bus 18 to determine whether a cache hit or miss has taken place. A miss condition also occurrs if the NV
bit is set, or possibly if a parity bit i6 wrong. If a hit has taken place, then the operations which have ~l already begun in the execution unit 12 are allowed to ~ continue. If a miss has taken place, then the desired _ 30 data is fetched from main memory 34 via the main memory control logic 32. The cache tag and data RAMs 26 and 2e are updated after the new data arrives.

If a write is being performed, the new data iB put out on the data bus 16 and the full address is put out on the tag and external address busses 24 and 22. The new lZ73~1S

information may also at the same time written through to main memory 34 via the main memory control logic 30.

The structure of Fig. 1 has several advantages over prior art structures. First, unlike the situation where the three basic modules are in separate package~, this structure requires only two chip boundary crossings on a cache read: one from the CPU 10 to the cache tag and data RAMs 26 and 28 along the external address bus 22, and one from the tag and data RAMs 26 and 28 back to the CPU 10 along the tag and data busses 24 and 16. Of these two crossings, only the address information from the CPU 10 requires high current drivers; the two RAMs usually have low fanout outputs, causing minimal inductive switching noice in the CPU 10. The interconnection wires may be made as short as desired to reduce transmission line delays. Additionally, chip count can be kept very low.

Second, since the structure of Fig. 1 includes the tag ¦ comparator logic on the CPU chip 10, a hit or miss will be detected and the execution unit 12 notified very soon I following the arrival of the tag information on-chip.
j Since the data on the external data bus 16 and the tag on the tag bus 24 can be expected to arrive at the CPU
chip 10 at about the same time, the execution unit 12 can begin operating on the data immediately (in parallel with the work of the tag comparator logic 14) without fear of becoming too deeply committed to abort and restore itself if the cache ~ccess turns out to be a _ miss.

Third, only the low order n bits of the internal address bus 18 are sent outside the chip. ~f all three modules were separately packaged, or even if the tag comparator logic and the cache RAMs were packaged together but separate from the execution unit 12, the full m + n bits 127~715 of the address would have to be sent out. The structure of Fig. 1 therefore reduces the number of high current drivers needed. For example, if the internal address bus 18 is 32 bits wide and the cache memories are 64k entries long, prior art structures would requ~re all 32 address bits to be ~ent outside the chip. The structure of the present invention, however, would re~uire only 16 bits to be sent out, resulting in a reduction in switching noise of almost one-half. Overall pin count is not reduced because the remaining 16 address bits in - effect are sent back to the CPU 10 over the tag bus 24.
As mentioned previously, however, the tag RAM 26 outputs are not high fanout outputs and do not inject significant switching noise into the power supply.

Fourth, the structure of Fig. 1 represents an improvement over fully integrated and TMS2150-type designs because the designer can benefit from advances 'l¦ in memory technology occurring during the computer design cycle simply by replacing the cache RAMs 26 and 28 with newer versions. Moreover, the cache RAMs can be made as large as desired simply by adding memory and widening the external address bus 22 accordingly. It should be noted that whereas modern memory technology supports reasonably priced direct mapped caches large enough to yield a reasonable hit rate, it may be desired to expand the memory by adding set associativity. This l can be accomplished according to the invention by ¦ widening the tag bus 24 to accommodate m bits for each cache set, and by adding set ~election logic to the tag _ 30 comparator 14. The actual selection of data can then be accomplished off-chip, perhaps in a manner that enables initially the data from one cache 6et until told otherwi~e, but it is preferred that it be accomplished on-chip because of the speed benefits that would result.
$f done on-chip, the data bus 16 would of course have to be widened accordingly.

lZ7~71S

In Fig. 2 there is shown a block diagram of another computer system according to the invention, this one incorporating a virtual memory architecture. It is much the same as the embodiment of Fig. 1, except that an address translation unit 50 has been inserted on the CPU
chip 10 between the execution unit 12 and the tag comparator 14. The execution unit sends a virtual address over a virtual address (VA) bus 52 to the address translation unit 50. The address translation - 10 unit 50 translates this to a real address of width m + n bits, the low order n bit of which are sent off-chip to the cache RAMs 26 and 28 over the external address bus 22. The high order m bits are sent over an internal real address (RA) bus 54 to the on-chip tag comparator logic 14. The address translation unit 50 may comprise a page table (not shown), and/or a translation lookaside buffer (TLB) (not shown). If the address translation unit 50 includes a TLB, which is in substance merely a cache for the page table, the page table may be located off-chip.
.
Integrating the address translation unit on the CPU chip provides several advantages over the prior art structures even above those advantages gained by using the invention on a design that does not have virtual memory. Since the translation is performed on-chip, it can be made fast enough to minimize overhead even though a translation is performed for each cache memory access.
Overhead can be further reduced by designing the execution unit 12 so as to generate the virtual - 30 addresses very early in the instruction cycle. This permits the use of real addressed caches, thereby avoiding all the difficulties introduced by the use of virtual addressed caches. Additionally, since the address translation is so fast, the high order (translated) bits of the real address arrive at the CPU
package boundary only a ahort time after the low order lZ~3715 (untranslated) bits. Direct mapped cache RAMs of a length greater than the virtual memory page size can therefore be used without severe penalty. The penalty can be eliminated, in fact, if cache RAMs having early S row or column select capability are used.

Fig. 3 shows yet another embodiment of the present invention, particularly adapted for computer designs which differentiate between instruction memory and data memory. For such designs, two separate caches may be used with interleaved bus usage to effectively double - the cache access bandwidth and gain some of ~he benefits of tw~-way set ass~ciativity without adding overhead.
Fig. 3 shows inside the CPU chip 10 the execution unit 12, connected via the virtual address bus 52 to both an lS instruction address translation unit 70 and a data address translation unit 72. The instruction address trans~ation unit 70 is connec~ed to a real instruction address ~RIA) bus 74 of width m + n bits and the data address translation unit 72 is connected to a real data , 20 address ~RDA) bus 76 of the same width. The m high order bits of the RIA bus 74 are connected to an instruction tag comparator 78 and the m high order bits of the RDA bus 76 are connected to a data tag comparator 80. ~he n low order bits of the RIA bus 74 and the n low order bits of the RDA bus 76 are both connected to I the external address bus 22, and the m bits of the ¦ external tag bus 24 are connected to both the instruction tag comparator 78 and the data tag comparator 80. External to the CPU chip 10 are one _ 30 direct mapped set of instruction cache RAMs 82 and one direct mapped set of data cache RAMs 84. These are connected to the data, tag and external address busses 16, 24, and 22, respectively, as previously described, except that latching buffers 83 and 85 are inserted between the external address bus 22 and, respectively, the instruction cache RAMs 82 and the data cache RAMs lZ~73~15 84. The main memory control logic 30 and the main memory 34 are not shown in Fig. 3.

In operation, an instruction address is first provided by the execution unit 12 onto the internal virtual address bus 52. During the first phase of a two-phase clock cycle (see Fig. 4), the instruction address translation unit 70 calculates the corresponding real address and makes it available to the instruction tag comparator 78 and to the external address bus 22. Also during this first phase, the execution unit 12 readies a virtual data address for placin~ on the virtual address bus 52. In the second phase, the low order n bits of the real instruction address is put out on the external address bus 22 and latched by latching buffer 83. ~he instruction cache ~AMs a2 begin to select the data and tag information stored at the selected address. Also during the second phase the data address translation unit 72 translates the virtual ~a~ address on the virtual address bus 52, and the execution unit 12 j 20 readies the next virtual instruction address. In the third phase (first phase of second clock cycle), the data and tag from instruction cache RAMs 82 are placed on the data and tag busses 16 and 24 for use by the execution unit 12 and the instruction tag comparator 78, respectively. Also during this third phase, the n low order bits of the real data address are put out on the external address bus 22 and latched by latching buffer i 85. The data cache RAMs 84 begin to select the data and tag information stored at the selected address. ~he instruction address translation unit 70 also translates the virtual instruction address to real, and the execution unit 12 readies the next virtual data address.
Cache acces~ continues in this interleaved manner until it is interrupted by a cache miss which requires access to main memory, or by another specified condition.
Thus, although the data from a cache read does not lZ737.~.5 arrive at the execution unit 12 until one and one-half clock cycles after the virtual address for that data was first available on-chip, cache accesses are completed twice each clock cycle. This result~ in a doubling of the bandwidth over that obtainable with ingle cache systems, even though address translation i5 performed for every cache access.

Other improvements and refinements may be incorporated into computer systems employing the present invention.
For example, since the address translation units 70 and 72 are small and integrated together with the execu~ion unit 12 and the tag comparators 78 and 80 on the CPu chip 10, they operate significantly faster than does the cache access itself. This is true especially if one or more TLB levels are used in the translation scheme. It may therefore be possible to perform the translation for both caches in a sinsle joint double-time address ~-ji translation unit. Additionally, other specialized ~ caches, such as an external TLB, or an instruction cache .~ 20 dedicated to an operating system kernal, may be '~ connected to the external address, tag and data busses 22, 24 and 1~. These may be accessed when the busses are temporarily not in use due to a translation mi~s, cache miss, or other special processor condition.
Moreover, an external latching buffer such a~ those shown as 83 and 85 in Fig. 3 may be included in non-interleaved desiqns as well. This has the benefit of moving the powerful address drivers off the CPU chip, while adding only a ~mall delay to overall cache access _ 30 time.

Although in the above description the address generating unit and the comparator logic are described as being located on one chip and ~he cache RAMs being located elsewhere, it will be understood that similar benefits will result if the address generating unit and the comparator logic are located on different chips within the same hybrid package, the cache RAMs being located elsewhere. The benefits do not, however, extend to the situation where the address generating unit and the comparator logic are located in separate packages on one 5 board and the cache ~AMs located on a different board.
The latter situation places each of the three basic building blocks of a cache architecture in different packages, thereby incurring all of the disadvantages described previously with respect to such a structure.
- 10 No further advantage is gained by placing the cache RAMs off-board that is not already gained by placing them off-chip. Performance is in fact degraded by placing 7 them off-board, because transmission line considerations begin to play a more important role.

15 The invention has been described with respect to particular embodiments thereof, and it will be understood that numerous modifications are possible II within the scope of the invention. For example, although embodiments have been described in which the n 20 bits sent out on the external address bus are the low order address bits, it will be understood that any group of n bits may be selected. Additionally, an overlap may be built in between the address bits connected to the tag comparator and those available externally for cache 25 addressing. ~he latter variation permits a designer or user to select one of several available cache lengths , merely by allocating the overlap bits between the two t functions. As another example, although the interleaved ~ embodiment described above involved the use of an - 3~ instruction cache and a data cache, it will be understood that any pair of non-interfering streams of memory accesses could be accommodated. Interleaving access to more than two caches might also be possible.
In yet another example, if more than one cache i8 35 accessed, there is no requirement that all use the full data, address and/or tag bus widths. As yet a further lZ7371S

example, the tag information may be sent back to the CPU
10 on the external address bus 22 if the bus width is appropriate and an external latch is used. These and other modifications obvious to a person of ordinary skill are intended to be within the ~cope of the invention.

!
.,1, i

Claims (10)

WHAT IS CLAIMED IS
1. A compute. system comprising:
a package containing an address generating unit and a tag comparator;
a first set of cache memory comprising a first cache date memory and a first cache tag memory;
data bus means in communication with the first cache data memory;
tag bus means in communication with the tag comparator and the first cache tag memory;
address bus means comprising a first group of m address leads and a second group of n address leads, the first group of address leads being in communication with the tag comparator and the second group of address leads being in communication with the first cache tag memory and the first cache data memory; and first connection means for placing the first group of address leads and the second group of address leads in communication with the address generating unit;
wherein the first set of cache memory is disposed externally to the package.
2. A computer system according to claim 1, wherein the first connection means comprises:
virtual address bus means in communication with the address generating unit;
an address translation unit disposed inside the package and in communication with the virtual address bus means; and second connection means for placing the first group of address leads and the second group of address leads in communication with the address translation unit.
3. A computer system according to claim 1, further comprising a second set of cache memory comprising a second cache data memory and a second cache tag memory, the second cache data memory being in communication with the second group of address leads and with the data bus means and the second cache tag memory being in communication with the second group of address leads and with the tag bus means, wherein the second set of cache memory is disposed externally to the first package, and wherein access to the second set of cache memory is interleaved with access to the first set of cache memory.
4. A computer system according to claim 2, further comprising a second set of cache memory comprising a second cache data memory and a second cache tag memory, the second cache data memory being in communication with the second group of address leads and with the data bus means and the second cache tag memory being in communication with the second group of address leads and with the tag bus means, wherein the second set of cache memory is disposed externally to the first package, and wherein access to the second set of cache memory is interleaved with access to the first set of cache memory.
5. A computer system according to claim 1, further comprising:
means for enabling information from the first cache tag memory onto the tag bus means at a first predetermined point in time; and means for enabling information from the first cache data memory onto the data bus means at substantially the same time as the first predetermined point in time.
6. A computer system according to claim 2, further comprising:
means for enabling information from the first cache tag memory onto the tag bus means at a first predetermined point in time; and means for enabling information from the first cache data memory onto the data bus means at substantially the same time as the first predetermined point in time.
7. A computer system according to claim 2, wherein at least one lead in the second group of address leads represents a translated address bit and at least one lead in the second group of address leads represents an untranslated address bit.
8. A computer system according to claim 4, wherein at least one lead in the second group of address leads represents a translated address bit and at least one lead in the second group of address leads represents an untranslated address bit.
9. A computer system according to claim 2, wherein the address translation unit translates j lead and leaves k leads unaltered, the virtual address bus comprising j + k leads, and wherein:
n is greater than k; and the first set of cache memory is direct mapped.
10. A computer system according to claim 4, wherein the address translation unit translates j leads and leaves k leads unaltered, the virtual address bus comprising j + k leads, and wherein:
n is greater than k; and the first set of cache memory is direct mapped.
CA000529197A 1986-02-06 1987-02-06 Cache and virtual memory organization Expired - Lifetime CA1273715A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/827,269 US4953073A (en) 1986-02-06 1986-02-06 Cup chip having tag comparator and address translation unit on chip and connected to off-chip cache and main memories
US827,269 1992-02-03

Publications (1)

Publication Number Publication Date
CA1273715A true CA1273715A (en) 1990-09-04

Family

ID=25248766

Family Applications (1)

Application Number Title Priority Date Filing Date
CA000529197A Expired - Lifetime CA1273715A (en) 1986-02-06 1987-02-06 Cache and virtual memory organization

Country Status (13)

Country Link
US (2) US4953073A (en)
EP (1) EP0231574B1 (en)
JP (1) JPS62184551A (en)
KR (1) KR950012733B1 (en)
AT (1) ATE83567T1 (en)
CA (1) CA1273715A (en)
DE (1) DE3687307T2 (en)
DK (1) DK59487A (en)
ES (1) ES2005092A6 (en)
GR (1) GR870204B (en)
IE (1) IE870309L (en)
IL (1) IL81238A (en)
NO (1) NO870415L (en)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1575939B1 (en) * 1967-01-21 1973-01-25 Jurid Werke Gmbh FRICTION LINING ARRANGEMENT
US4953073A (en) * 1986-02-06 1990-08-28 Mips Computer Systems, Inc. Cup chip having tag comparator and address translation unit on chip and connected to off-chip cache and main memories
US5226147A (en) * 1987-11-06 1993-07-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device for simple cache system
US5067078A (en) * 1989-04-17 1991-11-19 Motorola, Inc. Cache which provides status information
JPH0740247B2 (en) * 1989-06-20 1995-05-01 松下電器産業株式会社 Cache memory device
JPH0711793B2 (en) * 1989-07-13 1995-02-08 株式会社東芝 Microprocessor
US5077826A (en) * 1989-08-09 1991-12-31 International Business Machines Corporation Cache performance in an information handling system employing page searching
US5297270A (en) * 1989-11-13 1994-03-22 Zenith Data Systems Corporation Programmable cache memory which associates each section of main memory to be cached with a status bit which enables/disables the caching accessibility of the particular section, and with the capability of functioning with memory areas of varying size
EP0446534A3 (en) * 1990-03-16 1992-08-05 John Fluke Mfg. Co., Inc. Method of functionally testing cache tag rams in limited-access processor systems
US5261066A (en) * 1990-03-27 1993-11-09 Digital Equipment Corporation Data processing system and method with small fully-associative cache and prefetch buffers
JPH05108484A (en) * 1990-06-07 1993-04-30 Intel Corp Cache memory
US5732241A (en) * 1990-06-27 1998-03-24 Mos Electronics, Corp. Random access cache memory controller and system
US5276833A (en) * 1990-07-02 1994-01-04 Chips And Technologies, Inc. Data cache management system with test mode using index registers and CAS disable and posted write disable
US5835945A (en) * 1990-08-06 1998-11-10 Ncr Corporation Memory system with write buffer, prefetch and internal caches
JPH04128946A (en) * 1990-09-20 1992-04-30 Fujitsu Ltd Address conversion method
US6275901B1 (en) * 1990-10-09 2001-08-14 Intel Corporation Computer system having a set associative cache memory with sequentially accessed on-chip address tag array and off-chip data array
US5412787A (en) * 1990-11-21 1995-05-02 Hewlett-Packard Company Two-level TLB having the second level TLB implemented in cache tag RAMs
JP2646854B2 (en) * 1990-12-18 1997-08-27 三菱電機株式会社 Microprocessor
JP2703418B2 (en) * 1991-04-24 1998-01-26 株式会社東芝 Central processing unit
EP0525308A1 (en) * 1991-07-31 1993-02-03 International Business Machines Corporation Memory map for processor cache macro
US6230233B1 (en) * 1991-09-13 2001-05-08 Sandisk Corporation Wear leveling techniques for flash EEPROM systems
US5353424A (en) * 1991-11-19 1994-10-04 Digital Equipment Corporation Fast tag compare and bank select in set associative cache
US5634027A (en) * 1991-11-20 1997-05-27 Kabushiki Kaisha Toshiba Cache memory system for multiple processors with collectively arranged cache tag memories
US5469555A (en) * 1991-12-19 1995-11-21 Opti, Inc. Adaptive write-back method and apparatus wherein the cache system operates in a combination of write-back and write-through modes for a cache-based microprocessor system
US5414827A (en) * 1991-12-19 1995-05-09 Opti, Inc. Automatic cache flush
US5276878A (en) * 1992-10-07 1994-01-04 International Business Machines Corporation Method and system for task memory management in a multi-tasking data processing system
US5838389A (en) * 1992-11-02 1998-11-17 The 3Do Company Apparatus and method for updating a CLUT during horizontal blanking
US5481275A (en) 1992-11-02 1996-01-02 The 3Do Company Resolution enhancement for video display using multi-line interpolation
US5572235A (en) * 1992-11-02 1996-11-05 The 3Do Company Method and apparatus for processing image data
US5596693A (en) * 1992-11-02 1997-01-21 The 3Do Company Method for controlling a spryte rendering processor
GB2273856B (en) * 1992-12-22 1996-12-18 Advanced Risc Mach Ltd Pixel display palette
US5752073A (en) * 1993-01-06 1998-05-12 Cagent Technologies, Inc. Digital signal processor architecture
GB2275119B (en) * 1993-02-03 1997-05-14 Motorola Inc A cached processor
US5574923A (en) * 1993-05-10 1996-11-12 Intel Corporation Method and apparatus for performing bi-endian byte and short accesses in a single-endian microprocessor
EP0625746A1 (en) * 1993-05-19 1994-11-23 Siemens Nixdorf Informationssysteme Aktiengesellschaft Instruction unit for processors in data processing systems
US5581734A (en) * 1993-08-02 1996-12-03 International Business Machines Corporation Multiprocessor system with shared cache and data input/output circuitry for transferring data amount greater than system bus capacity
US5726937A (en) * 1994-01-31 1998-03-10 Norand Corporation Flash memory system having memory cache
US5870599A (en) * 1994-03-01 1999-02-09 Intel Corporation Computer system employing streaming buffer for instruction preetching
US6129458A (en) * 1994-03-23 2000-10-10 At&T Global Information Solutions Company Cache optimization method
US5826052A (en) * 1994-04-29 1998-10-20 Advanced Micro Devices, Inc. Method and apparatus for concurrent access to multiple physical caches
US6687790B2 (en) * 1994-08-03 2004-02-03 Intel Corporation Single bank associative cache
US5636354A (en) * 1994-09-06 1997-06-03 Motorola Inc. Data processor with serially accessed set associative memory cache interface and method
WO1996012231A1 (en) 1994-10-14 1996-04-25 Silicon Graphics, Inc. A translation buffer for detecting and preventing conflicting virtual addresses from being stored therein
WO1996012229A1 (en) 1994-10-14 1996-04-25 Silicon Graphics, Inc. Indexing and multiplexing of interleaved cache memory arrays
TW358907B (en) * 1994-11-22 1999-05-21 Monolithic System Tech Inc A computer system and a method of using a DRAM array as a next level cache memory
US6128700A (en) * 1995-05-17 2000-10-03 Monolithic System Technology, Inc. System utilizing a DRAM array as a next level cache memory and method for operating same
US6295599B1 (en) * 1995-08-16 2001-09-25 Microunity Systems Engineering System and method for providing a wide operand architecture
US5953241A (en) * 1995-08-16 1999-09-14 Microunity Engeering Systems, Inc. Multiplier array processing system with enhanced utilization at lower precision for group multiply and sum instruction
US5742840A (en) * 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US6643765B1 (en) * 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
US7483935B2 (en) * 1995-08-16 2009-01-27 Microunity Systems Engineering, Inc. System and method to implement a matrix multiply unit of a broadband processor
US7301541B2 (en) * 1995-08-16 2007-11-27 Microunity Systems Engineering, Inc. Programmable processor and method with wide operations
US6065108A (en) * 1996-01-24 2000-05-16 Sun Microsystems Inc Non-quick instruction accelerator including instruction identifier and data set storage and method of implementing same
US5960453A (en) 1996-06-13 1999-09-28 Micron Technology, Inc. Word selection logic to implement an 80 or 96-bit cache SRAM
US6819325B2 (en) 2000-03-07 2004-11-16 Microsoft Corporation API communications for vertex and pixel shaders
US7159041B2 (en) * 2000-03-07 2007-01-02 Microsoft Corporation Method and system for defining and controlling algorithmic elements in a graphics display system
WO2002001375A1 (en) * 2000-06-27 2002-01-03 Koninklijke Philips Electronics N.V. Integrated circuit with flash
FR2818145B1 (en) * 2000-12-18 2003-11-28 Oreal ANTISOLAR COSMETIC COMPOSITIONS BASED ON A SYNERGETIC MIXTURE OF FILTERS AND USES
US6828975B2 (en) * 2001-03-01 2004-12-07 Microsoft Corporation Method and system for managing graphics objects in a graphics display system
US6812923B2 (en) 2001-03-01 2004-11-02 Microsoft Corporation Method and system for efficiently transferring data objects within a graphics display system
US7023431B2 (en) * 2001-03-01 2006-04-04 Microsoft Corporation Method and system for providing data to a graphics chip in a graphics display system
US6831635B2 (en) * 2001-03-01 2004-12-14 Microsoft Corporation Method and system for providing a unified API for both 2D and 3D graphics objects
US6874150B2 (en) 2001-03-01 2005-03-29 Microsoft Corporation Method and system for maintaining connections between surfaces and objects in a graphics display system
US7310706B1 (en) 2001-06-01 2007-12-18 Mips Technologies, Inc. Random cache line refill
US7024414B2 (en) * 2001-08-06 2006-04-04 Sensage, Inc. Storage of row-column data
WO2003021423A2 (en) 2001-09-04 2003-03-13 Microunity Systems Engineering, Inc. System and method for performing multiplication
WO2004040586A1 (en) * 2002-10-28 2004-05-13 Sandisk Corporation Automated wear leveling in non-volatile storage systems
US20050182903A1 (en) * 2004-02-12 2005-08-18 Mips Technologies, Inc. Apparatus and method for preventing duplicate matching entries in a translation lookaside buffer
US7558939B2 (en) 2005-03-08 2009-07-07 Mips Technologies, Inc. Three-tiered translation lookaside buffer hierarchy in a multithreading microprocessor
EP1717708B1 (en) * 2005-04-29 2010-09-01 STMicroelectronics Srl An improved cache memory system
CN103399827B (en) * 2013-07-25 2015-11-25 华为技术有限公司 The system and method for memory storage, execution accessing operation

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4056845A (en) * 1975-04-25 1977-11-01 Data General Corporation Memory access technique
US4084230A (en) * 1976-11-29 1978-04-11 International Business Machines Corporation Hybrid semiconductor memory with on-chip associative page addressing, page replacement and control
US4400774A (en) * 1981-02-02 1983-08-23 Bell Telephone Laboratories, Incorporated Cache addressing arrangement in a computer system
US4532587A (en) * 1981-08-26 1985-07-30 Texas Instruments Incorporated Single chip processor connected to an external memory chip
GB2111998A (en) * 1981-11-25 1983-07-13 Secr Defence The preparation of adducts which may be used in the preparation of compound semiconductor materials
CH652912A5 (en) * 1982-02-23 1985-12-13 Sulzer Ag THE SHAFT OF AN ENDOPROTHESIS CENTER FITTING IN A TUBE BONE.
US4493026A (en) * 1982-05-26 1985-01-08 International Business Machines Corporation Set associative sector cache
US4527232A (en) * 1982-07-02 1985-07-02 Sun Microsystems, Inc. High-speed memory and memory management system
JPS60122443A (en) * 1983-12-05 1985-06-29 Mitsubishi Electric Corp Information processing unit
US4587610A (en) * 1984-02-10 1986-05-06 Prime Computer, Inc. Address translation systems for high speed computer memories
US4646233A (en) * 1984-06-20 1987-02-24 Weatherford James R Physical cache unit for computer
US4794524A (en) * 1984-07-03 1988-12-27 Zilog, Inc. Pipelined single chip microprocessor having on-chip cache and on-chip memory management unit
JP2539357B2 (en) * 1985-03-15 1996-10-02 株式会社日立製作所 Data processing device
US4821171A (en) * 1985-05-07 1989-04-11 Prime Computer, Inc. System of selective purging of address translation in computer memories
US4785398A (en) * 1985-12-19 1988-11-15 Honeywell Bull Inc. Virtual cache system using page level number generating CAM to access other memories for processing requests relating to a page
US4953073A (en) * 1986-02-06 1990-08-28 Mips Computer Systems, Inc. Cup chip having tag comparator and address translation unit on chip and connected to off-chip cache and main memories
US4811209A (en) * 1986-07-31 1989-03-07 Hewlett-Packard Company Cache memory with multiple valid bits for each data indication the validity within different contents
US4882673A (en) * 1987-10-02 1989-11-21 Advanced Micro Devices, Inc. Method and apparatus for testing an integrated circuit including a microprocessor and an instruction cache

Also Published As

Publication number Publication date
DK59487D0 (en) 1987-02-05
NO870415D0 (en) 1987-02-03
DK59487A (en) 1987-08-07
IE870309L (en) 1987-08-06
ES2005092A6 (en) 1989-03-01
US4953073A (en) 1990-08-28
JPS62184551A (en) 1987-08-12
DE3687307T2 (en) 1993-04-15
EP0231574A3 (en) 1989-06-07
IL81238A0 (en) 1987-08-31
GR870204B (en) 1987-06-09
KR870008253A (en) 1987-09-25
EP0231574B1 (en) 1992-12-16
ATE83567T1 (en) 1993-01-15
US5113506A (en) 1992-05-12
DE3687307D1 (en) 1993-01-28
EP0231574A2 (en) 1987-08-12
NO870415L (en) 1987-08-07
KR950012733B1 (en) 1995-10-20
IL81238A (en) 1990-09-17

Similar Documents

Publication Publication Date Title
CA1273715A (en) Cache and virtual memory organization
US5510934A (en) Memory system including local and global caches for storing floating point and integer data
US6125433A (en) Method of accomplishing a least-recently-used replacement scheme using ripple counters
US5526509A (en) Method and apparatus for controlling one or more hierarchical memories using a virtual storage scheme and physical to virtual address translation
US5386527A (en) Method and system for high-speed virtual-to-physical address translation and cache tag matching
US4774653A (en) Hybrid hardware/software method and apparatus for virtual memory address translation using primary and secondary translation buffers
US5649154A (en) Cache memory system having secondary cache integrated with primary cache for use with VLSI circuits
JP2001184263A (en) Device for invalidating and removing old cache line
GB2193017A (en) Improved memory access system
KR20010101695A (en) Techniques for improving memory access in a virtual memory system
US6473835B2 (en) Partition of on-chip memory buffer for cache
JP2000003308A (en) Overlapped memory access method and device to l1 and l2
JP3449487B2 (en) Conversion index buffer mechanism
US5649143A (en) Apparatus and method for providing a cache indexing scheme less susceptible to cache collisions
US6253290B1 (en) Multiprocessor system capable of circumventing write monitoring of cache memories
JP2838210B2 (en) Bus master
US6686920B1 (en) Optimizing the translation of virtual addresses into physical addresses using a pipeline implementation for least recently used pointer
KR100282118B1 (en) High Throughput Single Port Multiple Update Unit Tag Controller
US5732405A (en) Method and apparatus for performing a cache operation in a data processing system
JP3210637B2 (en) Method and system for accessing a cache memory in a data processing system
US5860096A (en) Multi-level instruction cache for a computer
JP3277730B2 (en) Semiconductor memory device and information processing device using the same
US5577228A (en) Digital circuit for performing multicycle addressing in a digital memory
JPH02302853A (en) Improved type cash access method and apparatus
US6581139B1 (en) Set-associative cache memory having asymmetric latency among sets

Legal Events

Date Code Title Description
MKEX Expiry