CA1216962A - Mos device processing - Google Patents

Mos device processing

Info

Publication number
CA1216962A
CA1216962A CA000485874A CA485874A CA1216962A CA 1216962 A CA1216962 A CA 1216962A CA 000485874 A CA000485874 A CA 000485874A CA 485874 A CA485874 A CA 485874A CA 1216962 A CA1216962 A CA 1216962A
Authority
CA
Canada
Prior art keywords
ions
source
silicon
drain
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
CA000485874A
Other languages
French (fr)
Inventor
Hussein M. Naguib
Iain D. Calder
Vu Q. Ho
Abdalla A. Naem
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nortel Networks Ltd
Original Assignee
Northern Telecom Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Northern Telecom Ltd filed Critical Northern Telecom Ltd
Priority to CA000485874A priority Critical patent/CA1216962A/en
Priority to US06/813,232 priority patent/US4683645A/en
Application granted granted Critical
Publication of CA1216962A publication Critical patent/CA1216962A/en
Expired legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/14Schottky barrier contacts

Abstract

MOS DEVICE PROCESSING
Abstract of the Disclosure In a metal oxide semiconductor field effect transistor fabrication process, refractory metal is deposited over designated source and drain areas within a silicon substrate. Refractory metal and silicon at the interface is then mixed by ion implantation of a heavy neutral ion species such as germanium. To minimize source/drain junction depth, the source and drain locations can be subjected to bombardment by a lighter ion such as silicon which recrystallizes silicon under the designated source and drain regions and so minimizes dopant diffusion. To render the source and drain of desired conductivity type, an ion implantation of a non neutral ion can be performed.
- i -

Description

~2~
This invention relates to a process for the fabrication of MOS semiconduc-tor devices using silicide contacts.
Modern very large scale integrated (VLSI) circuits are composed of semiconduc-tor devices of extremely small dimensions. In particular, the source and drain junctions must be shallow, while still retaining the low resistivity, contact resistance, and leakage current of large scale devices. These requirements can be met by the use of a barrier metal over the sources and drains which, as a metal, lowers resistivity, and by acting as a barrier to silicon/metal (usually aluminum) interdi~fusion, maintains a low leakage current even for a shallow junction. One type of ma-terial commonly used to Form ohmic con-tac-ts is a silicon/refractory metal compound, or silicide. These refractory metal silicides may be either deposited (usually by sput-tering) as a compound, or the metal may be deposited onto the silicon, followed by a chemical reaction to form the silicide. The reaction may be performed by heating the specimen to a high tempera-ture, or ion beam bombardment may be used to mix the constituents and assist or even carry out the reaction to completion (D.L. Kwong, D.C. Meyers, and N.S. Alvi, IEEE Electron Dev. Let-t.
EDL-6, 244-246, 1985; H. Okabayashi, M. Morimoto, and E. Nagasawa, IEEE Trans. Electron Dev. ED-31, 1329-1334, 1984i and M.Y. Tsai, C.S.
Petersson, F.M. D'Heurle, and ~. Maniscalco, Appl. Phys. Le-tt. 37, 295-298, 1980). Lateral growth of silicide during the reaction can be a problem, but is controlled by the use of rapid thermal annealing or ion beam mixing or both (S.D. Lester and N.W. Cheung, to be published in "Ion Beam Processes in Advanced Electronic Ma-terials and Device Technology", ed. by F./H. Eisen, T.W. Sigmon, and B.R. Appleton Ma-terials Research Society, Pittsburgh 1985). If the specimen temperature is maintained at a high level, greater than 300C during ion implantation then the reaction will occur in situ. At lower temperatures ion beam mixing will assist the later thermal reac-tion by causing intermixing of the me-tal and silicon at their mutual interface, thereby destroying any intervening film (such as a thin natural SiO2) that would retard the reaction.
It is known in the fabrication of silicon MOS devices to simultaneously form an n+p junction and a TiSi2 contact layer by ion implantation of As+ ions which cause mixing of Ti and Si and act as an n-type dopant in the underlying silicon (H. Okabayashi, M.
Morimoto, and E. Nagasawa, IEEE Trans~ Electron Dev. ED-31, 1329-1334, 1984; M.Y. Tsai, C.S. Petersson, F.M. D'Heurle, and V. Maniscalco, Appl. Phys. Lett. 37, 295~298, 1980).
There are three disadvantages to this technique.
Firstly, it is not applicable to a p+n junction since arsenic is used; secondly, rapid damage-assisted diffusion of arsenic has been observed, preventing the formation of an acceptably shallow junction (M. Finetti, E. Gabilli, G. Lulli, R. Lotte, P.G. Merli, and R.
Nipoti, to be published in "Ion Beam Processes in Advanced Electronic Materials and Device Technology", ed. by F.H. Eisen, T.W. Sigmon, and B.R. Appleton, Materials Research Society, Pittsburgh 1985). Thirdly, because an oxide side wall spacer is required to separate -the metal over the gate from that over the drain, there are two different ion implantation steps required: doping (before spacer formation), and mixing (after metal deposition).
In the present invention, use of germanium as a mixing ion is proposed. Germanium is suitably heavy -to efficiently perform mixing and it is electrically inert in silicon so it can be used for either p+n or n+p junctions.
In certain embodiments of the invention, germanium, either alone or in conjunction with a silicon implant will also amorphize the silicon substrate, thereby preventing enhanced dopant diffusion, and allowing a shallow junction to be formed. The silicon ions may also assist with the ion beam mixing.
An embodiment of the invention will now be described by way of example with reference to the accompanying drawings in which:-Figures la to 1c marked PRIOR ART, show processingsteps in a conventional -technique for forming silicide ohmic contacts;
and Figures 2a to 2f show stages in a fabrication process according to the present invention for producing a PMOS transistor.
Referring in detail to Figure 1, there is illustrated a partially comple-ted MOSFET structure in which a polysilicon gate lO
and silicon dioxide side wall spacer structures 12 have been patterned. A film 14 of some refractory metal (typically titanium) has then been deposited, usually by sputtering. In a well-known technique, titantium silicide 15 is formed by a thermal reaction between the titanium and underlying silicon in source, drain and ga-te regions respectively 16, 18 and 20, Figure lb, (T.P. Chow and A.J.
Steckel, IEEE Trans. Elec-tron Dev. ED-30, 1480-1497, 1983). Then as seen in Figure 1c, unreacted titanium which sits on side wall oxide regions 12 is removed by a selective etch, resulting in a self-aligned silicidation process. This reac-tion proceeds only with diFficulty iF

3~i~
there is any contamindtion at metal/silicon interface 22. The reaction may be completely undesirable because of unwanted di-f-fusion occuring at the high temperatures needed (For example, about 800C for titanium and greater than l,O00C for molybdenum). One solution to these problems is to impldnt the surface of the structure illus-trated in Figure la with heavy ions (typically arsenic, As+) in order to mix the atoms at the metal-silicon interface 22. If this process is carried out at room temperature, then the contaminating layer is dispersed and the subsequent thermal reaction can proceed smoothly.
If the implantation is carried out at elevated temperatures (typically greater than 300C) then the titanium and silicon may be mixed completely -to form a titanium silicide film without a high tempera-ture step. However some annealing is still required to remove ion implantation damage in the silicon.
For PMOS transistors, boron is far too light a p-type dopant to produce significant ion beam mixing. Even the use of a heavier molecule such as BF2 is not worthwhile since the molecule breaks up on impact in the metal films.
By the invention, an electrically inert ionic species germanium is used, the germanium ion being relatively heavy and therefore very efficient for mixing. ~~he germanium ion may be used alone to effect mixing a-t the silicon/refractory metal interface together with a measure of amorphization of the silicon. However it is also useful to use a lighter ionic species such as silicon in combination with the germanium in some process sequences. In particular the processes of ion beam mixing and silicon substrate amorphization which is necessary for -the formation of shallow ;2 junctions, may be combined by using the same ions for both purposes.
The value of the silicon implan-tation is its much greater penetration depth into a subs-trate at reasonable implantation energies.
A specific example of the invention is now described with reference to Figure 2. The device fabricated in the process seguence shown in Figure 2 is a PMOS transistor. Initally a field oxide layer 26 is thermally grown in a patterned structure using a well-known LOCOS process. Then a gate oxide layer 28 is gro~n, typically to a thickness of 25 nanometers using a standard process, and a polysilicon film is deposited at 625C using a standard low pressure chemical vapour deposition (LPCVD) process. The polysilicon film is doped with phosphorus by diFFusion from a POC13 source to increase conductivity. The polysilicon layer is patterned to produce a gate 30 (Figure 2a) and the polysilicon gate 30 and source and drain regions, respectively 32 and 34, are oxidized in dry oxygen to a total thickness of 100 nanometers.
The wafer is then anisotropically reactively ion etched to remove the oxide over the gate, source and drain areas while oxide 36 on the gate walls remains because of its greater thickness in the vertical direction (Figure 2b). Next titanium metal 38 is deposited by sputtering to a thickness of about ~0 nanometers (Figure 2c)~
Subsequently, three separate ion implan-tation steps are performed in succession: (i) 5 x 1015 28Si+/cm2 at an energy oF
120 keV; (ii) 3 x 1015 11B+/cm2 at 25 keV, and finally (iii)
2 x 1015 73Ge+/cm2 at 90 keV. The primary function of the silicon implant is to amorphize silicon at the source and drain regions. The amorphous silicon is resistant to dopant di-Ffusion and $~:~69~
therefore channelling of -the subsequen-tly implan-ted boron ions beyond the shallow junc-tion depth desired can be prevented. The boron ion implant is performed to render the source and drain regions p-type.
The germanium implant is performed at an elevated temperature (greater than 300C) to promote both mixing and formation of titanium silicide at regions 40. Annealing of the resulting titanium silicide is carried out by rapid thermal annealing for 10 seconds at 600C in nitrogen, this anneal serving both to improve silicide quality and to recrystallize the amorphous silicon at the junc-tion regions by solid phase epitaxy.
Unreacted ti-tanium 42 on the field oxide and side wall oxide regions is then removed using a solution of ammonium hydroxide (NH4oH) and hydrogen peroxide (H202) leaving the structure shown in Figure 2e.
The chip is subsequently processed in a relatively conventional manner. Passivation layers of, for example, a 200 nanometer silicon dioxide (silox) layer 44 followed by an 800 nanometer borophosphosilicate glass (BPSG) layer 46 are then deposited by LPCVD at 350CC using gas mixtures of SiH4/o2 and SiH4/o2lpH3/B2H6 respectively. The BPSG is then caused to reflow by a rapid thermal heat treatment at 1100C for 10 seconds.
This thermal step also anneals any remaining implantation damage in the silicon and activates the dopant. The remainder of the process is conventional. Contact windows are opened in the BPSG/silox by a standard photollthographic step, using reactive ion etching, and then an optional barrier metal layer 48, such as Ti, TiN or Ti~, and an Al/1%Si film are deposited onto the substrate, patterned photoliyhographically, and sintered at 450C in H2. Finally a 4~P
phosphosilica-te glass (pyrox) film 50 is deposited and pat-terned to provide scratch protection. The completed device structure is illustrated in Figure 2f.
Although the above describes the fabrication of a PMOS
device, an NMOS transistor can be fabricated in a similar manner by replacement of the B+ implantation by an As+ implant in which case the silicon pre~amorphiza-tion step is optional. The arsenic implantation is carried out at or near room temperature -to avoid damage enhanced diffusion problems.
It will be realized that there are several possible variations of the basic process described herein, the key process steps being side wall oxide growth or spacer formation, me-tal deposition, ion-beam mixing, amorphization (optional), implan-tation doping, metal/silicon reaction (optional), selective etching of unreacted metal, and thermal activation of the dopant. Thus the process sequence could vary, condi-tional on (1) the side wall oxide spacer formation preceding metal deposition; (2) the metal deposition preceding ion beam mixing, which in turn precedes the metal/silicon reaction; (3) amorphizaton preceding doping, and these two processes not being separa-ted by the metal/silicon reac-tion; (4) selective metal etching following the metal/silicon reac-tion; and (5) dopant activation following the doping process.

Claims (13)

THE EMBODIMENTS OF THE INVENTION IN WHICH AN EXCLUSIVE
PROPERTY OR PRIVILEGE IS CLAIMED ARE DEFINED AS FOLLOWS:-
1. A process for the fabrication of an MOS
semiconductor devices comprising:-forming on a silicon substrate regions of field oxide;
forming at a region not occupied by field oxide a gate oxide layer;
forming a device gate over a region of said gate oxide layer;
designating source and drain areas and removing gate oxide at said source and drain areas;
depositing a refractory metal on the silicon substrate at said source and drain regions; and implanting germanium ions at the source and drain areas to mix silicon and the refractory metal at an interface therebetween.
2. A process as claimed in claim 1 in which, following implantation of said germanium ions, silicon ions are implanted to a depth greater than the germanium ions whereby to cause amorphization of the silicon.
3. A process as claimed in claim 1 in which, following implantation of the germanium ions, ions of a different type are deposited to render the source and drain regions p-type.
4. A process as claimed in claim 3 in which the ions of said different type are boron ions.
5. A process as claimed in claim 1 in which, following implantation of the germanium ions, ions of different type are implanted whereby to render the source and drain regions n-type.
6. A process as claimed in claim 5 in which the ions of said different type are arsenic ions.
7. A process as claimed in claim 2 in which, following implantation of the silicon ions, ions of a different type are deposited to render the source and drain regions p-type.
8. A process as claimed in claim 7 in which the ions of said different type are boron ions.
9. A process as claimed in claim 2 in which, following implantation of the silicon ions, ions of a different type are implanted whereby to render the source and drain regions n-type.
10. A process as claimed in claim 9 in which the ions of said different type are arsenic ions.
11. A process as claimed in claim 1 further comprising, prior to ion implantation, forming a further oxide layer over an upper surface of the gate, over side walls of the gate, and over the source and drain regions and vertically etching the further oxide layer whereby to remove the oxide over the gate upper surface and the source and drain regions but to leave said side wall oxide regions.
12. A process as claimed in claim 11 wherein during deposition of the refractory metal, the refractory metal is deposited simultaneously over the source and drain regions, the gate and the side wall oxide,
13. A process as claimed in claim 2 in which, following implantation of the silicon ions, the wafer is subjected to thermal annealing.
CA000485874A 1985-06-28 1985-06-28 Mos device processing Expired CA1216962A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CA000485874A CA1216962A (en) 1985-06-28 1985-06-28 Mos device processing
US06/813,232 US4683645A (en) 1985-06-28 1985-12-24 Process of fabricating MOS devices having shallow source and drain junctions

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CA000485874A CA1216962A (en) 1985-06-28 1985-06-28 Mos device processing

Publications (1)

Publication Number Publication Date
CA1216962A true CA1216962A (en) 1987-01-20

Family

ID=4130876

Family Applications (1)

Application Number Title Priority Date Filing Date
CA000485874A Expired CA1216962A (en) 1985-06-28 1985-06-28 Mos device processing

Country Status (2)

Country Link
US (1) US4683645A (en)
CA (1) CA1216962A (en)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4843453A (en) * 1985-05-10 1989-06-27 Texas Instruments Incorporated Metal contacts and interconnections for VLSI devices
US5198372A (en) * 1986-01-30 1993-03-30 Texas Instruments Incorporated Method for making a shallow junction bipolar transistor and transistor formed thereby
US5028554A (en) * 1986-07-03 1991-07-02 Oki Electric Industry Co., Ltd. Process of fabricating an MIS FET
US4897368A (en) * 1987-05-21 1990-01-30 Matsushita Electric Industrial Co., Ltd. Method of fabricating a polycidegate employing nitrogen/oxygen implantation
US4928156A (en) * 1987-07-13 1990-05-22 Motorola, Inc. N-channel MOS transistors having source/drain regions with germanium
US5266835A (en) * 1988-02-02 1993-11-30 National Semiconductor Corporation Semiconductor structure having a barrier layer disposed within openings of a dielectric layer
US4835112A (en) * 1988-03-08 1989-05-30 Motorola, Inc. CMOS salicide process using germanium implantation
US5142641A (en) * 1988-03-23 1992-08-25 Fujitsu Limited CMOS structure for eliminating latch-up of parasitic thyristor
US5236851A (en) * 1988-07-14 1993-08-17 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor devices
JPH0226032A (en) * 1988-07-14 1990-01-29 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
US5134447A (en) * 1989-09-22 1992-07-28 At&T Bell Laboratories Neutral impurities to increase lifetime of operation of semiconductor devices
JPH0727880B2 (en) * 1989-11-10 1995-03-29 株式会社東芝 Method for manufacturing semiconductor device
JPH0797590B2 (en) * 1989-11-21 1995-10-18 株式会社東芝 Bipolar transistor manufacturing method
KR940008936B1 (en) * 1990-02-15 1994-09-28 가부시끼가이샤 도시바 Highly purified metal material and sputtering target using the same
US5266510A (en) * 1990-08-09 1993-11-30 Micron Technology, Inc. High performance sub-micron p-channel transistor with germanium implant
DE4035842A1 (en) * 1990-11-10 1992-05-14 Telefunken Electronic Gmbh METHOD FOR RECRISTALLIZING PREAMORPHIZED SEMICONDUCTOR SURFACE ZONES
JP2881267B2 (en) * 1991-01-11 1999-04-12 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US5122479A (en) * 1991-04-11 1992-06-16 At&T Bell Laboratories Semiconductor device comprising a silicide layer, and method of making the device
US5108954A (en) * 1991-09-23 1992-04-28 Micron Technology, Inc. Method of reducing contact resistance at silicide/active area interfaces and semiconductor devices produced according to the method
US5268317A (en) * 1991-11-12 1993-12-07 Siemens Aktiengesellschaft Method of forming shallow junctions in field effect transistors
US5420055A (en) * 1992-01-22 1995-05-30 Kopin Corporation Reduction of parasitic effects in floating body MOSFETs
JP3318384B2 (en) * 1993-02-05 2002-08-26 株式会社半導体エネルギー研究所 Thin film transistor and manufacturing method thereof
US6683350B1 (en) 1993-02-05 2004-01-27 Semiconductor Energy Laboratory Co., Ltd. Transistor and method for manufacturing the same
US5514902A (en) * 1993-09-16 1996-05-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having MOS transistor
US5633177A (en) * 1993-11-08 1997-05-27 Advanced Micro Devices, Inc. Method for producing a semiconductor gate conductor having an impurity migration barrier
US5407859A (en) * 1993-12-01 1995-04-18 At&T Corp. Field effect transistor with landing pad
JP3514500B2 (en) * 1994-01-28 2004-03-31 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US5470794A (en) * 1994-02-23 1995-11-28 Advanced Micro Devices Method for forming a silicide using ion beam mixing
US5468974A (en) * 1994-05-26 1995-11-21 Lsi Logic Corporation Control and modification of dopant distribution and activation in polysilicon
US5401674A (en) * 1994-06-10 1995-03-28 Advanced Micro Devices Germanium implant for use with ultra-shallow junctions
US5444024A (en) * 1994-06-10 1995-08-22 Advanced Micro Devices, Inc. Method for low energy implantation of argon to control titanium silicide formation
JP2720827B2 (en) * 1994-07-05 1998-03-04 日本電気株式会社 Method for manufacturing semiconductor device
US5472896A (en) * 1994-11-14 1995-12-05 United Microelectronics Corp. Method for fabricating polycide gate MOSFET devices
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US6376372B1 (en) * 1995-06-02 2002-04-23 Texas Instruments Incorporated Approaches for mitigating the narrow poly-line effect in silicide formation
US5593924A (en) * 1995-06-02 1997-01-14 Texas Instruments Incorporated Use of a capping layer to attain low titanium-silicide sheet resistance and uniform silicide thickness for sub-micron silicon and polysilicon lines
US5585286A (en) * 1995-08-31 1996-12-17 Lsi Logic Corporation Implantation of a semiconductor substrate with controlled amount of noble gas ions to reduce channeling and/or diffusion of a boron dopant subsequently implanted into the substrate to form P- LDD region of a PMOS device
US5700717A (en) * 1995-11-13 1997-12-23 Vlsi Technology, Inc. Method of reducing contact resistance for semiconductor manufacturing processes using tungsten plugs
JPH09321304A (en) * 1996-03-22 1997-12-12 Seiko Epson Corp Semiconductor device having mos elements and manufacture thereof
US6440828B1 (en) * 1996-05-30 2002-08-27 Nec Corporation Process of fabricating semiconductor device having low-resistive contact without high temperature heat treatment
US20030170964A1 (en) * 1996-10-02 2003-09-11 Micron Technology, Inc. Oxidation of ion implanted semiconductors
US6008098A (en) * 1996-10-04 1999-12-28 Advanced Micro Devices, Inc. Ultra shallow junction formation using amorphous silicon layer
US5739064A (en) * 1996-11-27 1998-04-14 Micron Technology, Inc. Second implanted matrix for agglomeration control and thermal stability
KR100232206B1 (en) * 1996-12-26 1999-12-01 김영환 Method of manufacturing semiconductor device
US6010952A (en) * 1997-01-23 2000-01-04 Lsi Logic Corporation Process for forming metal silicide contacts using amorphization of exposed silicon while minimizing device degradation
US5888888A (en) * 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
US6045030A (en) * 1997-03-13 2000-04-04 Raytheon Company Sealing electronic packages containing bumped hybrids
CN1199926A (en) * 1997-05-21 1998-11-25 日本电气株式会社 Method of fabricating semiconductor device capable of providing mosfet which is improved in threshold voltage thereof
US6100170A (en) * 1997-07-07 2000-08-08 Matsushita Electronics Corporation Method of manufacturing semiconductor device
US6306763B1 (en) * 1997-07-18 2001-10-23 Advanced Micro Devices, Inc. Enhanced salicidation technique
US5918130A (en) * 1997-09-08 1999-06-29 Advanced Micro Devices, Inc. Transistor fabrication employing formation of silicide across source and drain regions prior to formation of the gate conductor
US6037640A (en) 1997-11-12 2000-03-14 International Business Machines Corporation Ultra-shallow semiconductor junction formation
US6146934A (en) * 1997-12-19 2000-11-14 Advanced Micro Devices, Inc. Semiconductor device with asymmetric PMOS source/drain implant and method of manufacture thereof
US6013546A (en) * 1997-12-19 2000-01-11 Advanced Micro Devices, Inc. Semiconductor device having a PMOS device with a source/drain region formed using a heavy atom p-type implant and method of manufacture thereof
US6222240B1 (en) 1998-07-22 2001-04-24 Advanced Micro Devices, Inc. Salicide and gate dielectric formed from a single layer of refractory metal
US6087209A (en) * 1998-07-31 2000-07-11 Advanced Micro Devices, Inc. Formation of low resistance, ultra shallow LDD junctions employing a sub-surface, non-amorphous implant
US6037204A (en) * 1998-08-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Silicon and arsenic double implanted pre-amorphization process for salicide technology
US6140167A (en) * 1998-08-18 2000-10-31 Advanced Micro Devices, Inc. High performance MOSFET and method of forming the same using silicidation and junction implantation prior to gate formation
US6030863A (en) * 1998-09-11 2000-02-29 Taiwan Semiconductor Manufacturing Company Germanium and arsenic double implanted pre-amorphization process for salicide technology
US6410967B1 (en) * 1998-10-15 2002-06-25 Advanced Micro Devices, Inc. Transistor having enhanced metal silicide and a self-aligned gate electrode
US6084280A (en) * 1998-10-15 2000-07-04 Advanced Micro Devices, Inc. Transistor having a metal silicide self-aligned to the gate
US6200869B1 (en) * 1998-11-06 2001-03-13 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit with ultra-shallow source/drain extensions
US6451644B1 (en) * 1998-11-06 2002-09-17 Advanced Micro Devices, Inc. Method of providing a gate conductor with high dopant activation
US6096628A (en) * 1998-11-06 2000-08-01 Advanced Micro Devices, Inc. Method of controlling effective channel length of semiconductor device by non-doping implantation at elevated energies
US6297115B1 (en) * 1998-11-06 2001-10-02 Advanced Micro Devices, Inc. Cmos processs with low thermal budget
US6180476B1 (en) * 1998-11-06 2001-01-30 Advanced Micro Devices, Inc. Dual amorphization implant process for ultra-shallow drain and source extensions
US6225173B1 (en) 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6265291B1 (en) 1999-01-04 2001-07-24 Advanced Micro Devices, Inc. Circuit fabrication method which optimizes source/drain contact resistance
US6362063B1 (en) 1999-01-06 2002-03-26 Advanced Micro Devices, Inc. Formation of low thermal budget shallow abrupt junctions for semiconductor devices
US6255214B1 (en) 1999-02-24 2001-07-03 Advanced Micro Devices, Inc. Method of forming junction-leakage free metal silicide in a semiconductor wafer by amorphization of source and drain regions
US6274511B1 (en) * 1999-02-24 2001-08-14 Advanced Micro Devices, Inc. Method of forming junction-leakage free metal silicide in a semiconductor wafer by amorphization of refractory metal layer
US6492249B2 (en) 1999-05-03 2002-12-10 Advanced Micro Devices, Inc. High-K gate dielectric process with process with self aligned damascene contact to damascene gate and a low-k inter level dielectric
US6194748B1 (en) 1999-05-03 2001-02-27 Advanced Micro Devices, Inc. MOSFET with suppressed gate-edge fringing field effect
US6271132B1 (en) 1999-05-03 2001-08-07 Advanced Micro Devices, Inc. Self-aligned source and drain extensions fabricated in a damascene contact and gate process
US6291278B1 (en) 1999-05-03 2001-09-18 Advanced Micro Devices, Inc. Method of forming transistors with self aligned damascene gate contact
US6265293B1 (en) 1999-08-27 2001-07-24 Advanced Micro Devices, Inc. CMOS transistors fabricated in optimized RTA scheme
US6403433B1 (en) 1999-09-16 2002-06-11 Advanced Micro Devices, Inc. Source/drain doping technique for ultra-thin-body SOI MOS transistors
US6235599B1 (en) * 1999-10-25 2001-05-22 Advanced Micro Devices, Inc. Fabrication of a shallow doped junction having low sheet resistance using multiple implantations
US6465315B1 (en) 2000-01-03 2002-10-15 Advanced Micro Devices, Inc. MOS transistor with local channel compensation implant
US7019363B1 (en) 2000-01-04 2006-03-28 Advanced Micro Devices, Inc. MOS transistor with asymmetrical source/drain extensions
US6333244B1 (en) 2000-01-26 2001-12-25 Advanced Micro Devices, Inc. CMOS fabrication process with differential rapid thermal anneal scheme
US6274488B1 (en) 2000-04-12 2001-08-14 Ultratech Stepper, Inc. Method of forming a silicide region in a Si substrate and a device having same
US6420264B1 (en) 2000-04-12 2002-07-16 Ultratech Stepper, Inc. Method of forming a silicide region in a Si substrate and a device having same
US6372589B1 (en) 2000-04-19 2002-04-16 Advanced Micro Devices, Inc. Method of forming ultra-shallow source/drain extension by impurity diffusion from doped dielectric spacer
US6420218B1 (en) 2000-04-24 2002-07-16 Advanced Micro Devices, Inc. Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US6361874B1 (en) 2000-06-20 2002-03-26 Advanced Micro Devices, Inc. Dual amorphization process optimized to reduce gate line over-melt
US6368947B1 (en) 2000-06-20 2002-04-09 Advanced Micro Devices, Inc. Process utilizing a cap layer optimized to reduce gate line over-melt
US6399450B1 (en) 2000-07-05 2002-06-04 Advanced Micro Devices, Inc. Low thermal budget process for manufacturing MOS transistors having elevated source and drain regions
US6630386B1 (en) 2000-07-18 2003-10-07 Advanced Micro Devices, Inc CMOS manufacturing process with self-amorphized source/drain junctions and extensions
TW509984B (en) * 2000-07-24 2002-11-11 United Microelectronics Corp Manufacture method of metal silicide
US6521502B1 (en) * 2000-08-07 2003-02-18 Advanced Micro Devices, Inc. Solid phase epitaxy activation process for source/drain junction extensions and halo regions
US6472282B1 (en) 2000-08-15 2002-10-29 Advanced Micro Devices, Inc. Self-amorphized regions for transistors
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6495437B1 (en) 2001-02-09 2002-12-17 Advanced Micro Devices, Inc. Low temperature process to locally form high-k gate dielectrics
US6756277B1 (en) 2001-02-09 2004-06-29 Advanced Micro Devices, Inc. Replacement gate process for transistors having elevated source and drain regions
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6420776B1 (en) 2001-03-01 2002-07-16 Amkor Technology, Inc. Structure including electronic components singulated using laser cutting
US6630385B1 (en) * 2001-04-27 2003-10-07 Advanced Micro Devices, Inc. MOSFET with differential halo implant and annealing strategy
US7291884B2 (en) * 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
KR100442145B1 (en) * 2001-12-27 2004-07-27 동부전자 주식회사 Method for improvement silicide by using argon, germanium, arsenic gas
US6777829B2 (en) 2002-03-13 2004-08-17 Celis Semiconductor Corporation Rectifier utilizing a grounded antenna
US7384727B2 (en) * 2003-06-26 2008-06-10 Micron Technology, Inc. Semiconductor processing patterning methods
US7115532B2 (en) 2003-09-05 2006-10-03 Micron Technolgoy, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US6969677B2 (en) * 2003-10-20 2005-11-29 Micron Technology, Inc. Methods of forming conductive metal silicides by reaction of metal with silicon
US7026243B2 (en) * 2003-10-20 2006-04-11 Micron Technology, Inc. Methods of forming conductive material silicides by reaction of metal with silicon
WO2005062352A1 (en) * 2003-12-18 2005-07-07 Koninklijke Philips Electronics N.V. A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US8357595B2 (en) * 2003-12-22 2013-01-22 Imec Semiconductor substrate with solid phase epitaxial regrowth with reduced depth of doping profile and method of producing same
US20050156228A1 (en) * 2004-01-16 2005-07-21 Jeng Erik S. Manufacture method and structure of a nonvolatile memory
US7312125B1 (en) 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same
US7153769B2 (en) * 2004-04-08 2006-12-26 Micron Technology, Inc. Methods of forming a reaction product and methods of forming a conductive metal silicide by reaction of metal with silicon
TWI260717B (en) * 2004-05-17 2006-08-21 Mosel Vitelic Inc Ion-implantation method for forming a shallow junction
US7119031B2 (en) * 2004-06-28 2006-10-10 Micron Technology, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US7241705B2 (en) * 2004-09-01 2007-07-10 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US20070235399A1 (en) * 2006-04-01 2007-10-11 Kim Henley H Foldup food holder
US8349732B2 (en) * 2008-07-18 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Implanted metal silicide for semiconductor device
US8921176B2 (en) * 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
US9006104B2 (en) 2013-06-05 2015-04-14 Globalfoundries Inc. Methods of forming metal silicide regions on semiconductor devices using millisecond annealing techniques
US9960281B2 (en) 2015-02-09 2018-05-01 The Hong Kong University Of Science And Technology Metal oxide thin film transistor with source and drain regions doped at room temperature

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1596184A (en) * 1976-11-27 1981-08-19 Fujitsu Ltd Method of manufacturing semiconductor devices
US4551908A (en) * 1981-06-15 1985-11-12 Nippon Electric Co., Ltd. Process of forming electrodes and interconnections on silicon semiconductor devices
US4558507A (en) * 1982-11-12 1985-12-17 Nec Corporation Method of manufacturing semiconductor device
JPS59210642A (en) * 1983-05-16 1984-11-29 Hitachi Ltd Manufacture of semiconductor device

Also Published As

Publication number Publication date
US4683645A (en) 1987-08-04

Similar Documents

Publication Publication Date Title
CA1216962A (en) Mos device processing
US5324974A (en) Nitride capped MOSFET for integrated circuits
US5336903A (en) Selective deposition of doped silicon-germanium alloy on semiconductor substrate, and resulting structures
KR0164072B1 (en) Method of forming shallow junction in a semiconductor device
US5536684A (en) Process for formation of epitaxial cobalt silicide and shallow junction of silicon
US4914500A (en) Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
EP0315229B1 (en) Method of manufacturing a semiconductor device with insulated-gate structure
US5389575A (en) Self-aligned contact diffusion barrier method
US6331468B1 (en) Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
US4716126A (en) Fabrication of double diffused metal oxide semiconductor transistor
US6100171A (en) Reduction of boron penetration by laser anneal removal of fluorine
US4908326A (en) Process for fabricating self-aligned silicide lightly doped drain MOS devices
US5937325A (en) Formation of low resistivity titanium silicide gates in semiconductor integrated circuits
US5428234A (en) Semiconductor device
US5108954A (en) Method of reducing contact resistance at silicide/active area interfaces and semiconductor devices produced according to the method
US5998272A (en) Silicidation and deep source-drain formation prior to source-drain extension formation
US4471524A (en) Method for manufacturing an insulated gate field effect transistor device
US5712181A (en) Method for the formation of polycide gate in semiconductor device
US6566212B1 (en) Method of fabricating an integrated circuit with ultra-shallow source/drain extensions
US5296387A (en) Method of providing lower contact resistance in MOS transistor structures
US6200840B1 (en) Method for producing PMOS devices
US5258637A (en) Semiconductor devices produced according to a method which reduces contact resistance at silicide/active area interfaces
US6083798A (en) Method of producing a metal oxide semiconductor device with raised source/drain
US6265293B1 (en) CMOS transistors fabricated in optimized RTA scheme
US5683920A (en) Method for fabricating semiconductor devices

Legal Events

Date Code Title Description
MKEX Expiry